summaryrefslogtreecommitdiff
path: root/ecos/packages/devs/watchdog/h8300/h8300h/current/src/watchdog_h8300h.cxx
diff options
context:
space:
mode:
Diffstat (limited to 'ecos/packages/devs/watchdog/h8300/h8300h/current/src/watchdog_h8300h.cxx')
-rw-r--r--ecos/packages/devs/watchdog/h8300/h8300h/current/src/watchdog_h8300h.cxx112
1 files changed, 112 insertions, 0 deletions
diff --git a/ecos/packages/devs/watchdog/h8300/h8300h/current/src/watchdog_h8300h.cxx b/ecos/packages/devs/watchdog/h8300/h8300h/current/src/watchdog_h8300h.cxx
new file mode 100644
index 0000000..51d2f43
--- /dev/null
+++ b/ecos/packages/devs/watchdog/h8300/h8300h/current/src/watchdog_h8300h.cxx
@@ -0,0 +1,112 @@
+//==========================================================================
+//
+// devs/watchdog/h8300/h83000/watchdog_h8300.cxx
+//
+// Watchdog implementation for Hitachi H8/300H CPUs
+//
+//==========================================================================
+// ####ECOSGPLCOPYRIGHTBEGIN####
+// -------------------------------------------
+// This file is part of eCos, the Embedded Configurable Operating System.
+// Copyright (C) 1998, 1999, 2000, 2001, 2002 Free Software Foundation, Inc.
+//
+// eCos is free software; you can redistribute it and/or modify it under
+// the terms of the GNU General Public License as published by the Free
+// Software Foundation; either version 2 or (at your option) any later
+// version.
+//
+// eCos is distributed in the hope that it will be useful, but WITHOUT
+// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+// for more details.
+//
+// You should have received a copy of the GNU General Public License
+// along with eCos; if not, write to the Free Software Foundation, Inc.,
+// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+//
+// As a special exception, if other files instantiate templates or use
+// macros or inline functions from this file, or you compile this file
+// and link it with other works to produce a work based on this file,
+// this file does not by itself cause the resulting work to be covered by
+// the GNU General Public License. However the source code for this file
+// must still be made available in accordance with section (3) of the GNU
+// General Public License v2.
+//
+// This exception does not invalidate any other reasons why a work based
+// on this file might be covered by the GNU General Public License.
+// -------------------------------------------
+// ####ECOSGPLCOPYRIGHTEND####
+//==========================================================================
+//#####DESCRIPTIONBEGIN####
+//
+// Author(s): yoshinori sato
+// Contributors: yoshinori sato
+// Date: 2002-04-29
+// Purpose: Watchdog class implementation
+// Description: Contains an implementation of the Watchdog class for use
+// with the Hitachi H8/300H watchdog timer.
+//
+//####DESCRIPTIONEND####
+//
+//==========================================================================
+
+#include <pkgconf/system.h> // system configuration file
+#include <pkgconf/watchdog.h> // configuration for this package
+
+#include <cyg/infra/cyg_trac.h> // tracing macros
+
+#include <cyg/hal/hal_arch.h>
+#include <cyg/hal/hal_io.h> // IO register access
+#include <cyg/hal/mod_regs_wdt.h> // watchdog register definitions
+
+#include <cyg/io/watchdog.hxx> // watchdog API
+
+// -------------------------------------------------------------------------
+// Constructor
+
+void
+Cyg_Watchdog::init_hw(void)
+{
+ CYG_REPORT_FUNCTION();
+
+ // No hardware init needed.
+
+ resolution = CYGARC_WDT_PERIOD;
+
+ CYG_REPORT_RETURN();
+}
+
+// -------------------------------------------------------------------------
+// Start the watchdog running.
+
+void
+Cyg_Watchdog::start()
+{
+ CYG_REPORT_FUNCTION();
+
+ //Stop WDT
+ HAL_WRITE_UINT16(CYGARC_TCSR,CYGARC_TCSR_MAGIC);
+ //Clear WDT Count
+ HAL_WRITE_UINT16(CYGARC_TCSR,CYGARC_TCNT_MAGIC);
+ //Start WDT
+ HAL_WRITE_UINT16(CYGARC_TCSR,CYGARC_TCSR_MAGIC|
+ CYGARC_WDT_WT|CYGARC_WDT_TME|CYGARC_WDT_CKS);
+ CYG_REPORT_RETURN();
+}
+
+// -------------------------------------------------------------------------
+// Reset watchdog timer. This needs to be called regularly to prevent
+// the watchdog firing.
+
+void
+Cyg_Watchdog::reset()
+{
+ CYG_REPORT_FUNCTION();
+
+ HAL_WRITE_UINT16(CYGARC_TCSR,CYGARC_TCNT_MAGIC);
+
+ CYG_REPORT_RETURN();
+}
+
+// -------------------------------------------------------------------------
+// EOF watchdog_h8300.cxx