summaryrefslogtreecommitdiff
path: root/board/xilinx/ppc440-generic/xilinx_ppc440_generic.c
diff options
context:
space:
mode:
Diffstat (limited to 'board/xilinx/ppc440-generic/xilinx_ppc440_generic.c')
-rw-r--r--board/xilinx/ppc440-generic/xilinx_ppc440_generic.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/board/xilinx/ppc440-generic/xilinx_ppc440_generic.c b/board/xilinx/ppc440-generic/xilinx_ppc440_generic.c
index d7e6aee706..07a3ab7f82 100644
--- a/board/xilinx/ppc440-generic/xilinx_ppc440_generic.c
+++ b/board/xilinx/ppc440-generic/xilinx_ppc440_generic.c
@@ -19,7 +19,7 @@ int checkboard(void)
return 0;
}
-int initdram(void)
+int dram_init(void)
{
gd->ram_size = get_ram_size(XPAR_DDR2_SDRAM_MEM_BASEADDR,
CONFIG_SYS_SDRAM_SIZE_MB * 1024 * 1024);