summaryrefslogtreecommitdiff
path: root/env
diff options
context:
space:
mode:
authorTom Rini <trini@konsulko.com>2018-05-06 17:58:06 -0400
committerTom Rini <trini@konsulko.com>2018-05-07 09:34:12 -0400
commit83d290c56fab2d38cd1ab4c4cc7099559c1d5046 (patch)
tree5e5d1b40b52aaf96b707e0da2474573306d22f7b /env
parent7ce85318cfff5fd82a059131761559cba7fef309 (diff)
SPDX: Convert all of our single license tags to Linux Kernel style
When U-Boot started using SPDX tags we were among the early adopters and there weren't a lot of other examples to borrow from. So we picked the area of the file that usually had a full license text and replaced it with an appropriate SPDX-License-Identifier: entry. Since then, the Linux Kernel has adopted SPDX tags and they place it as the very first line in a file (except where shebangs are used, then it's second line) and with slightly different comment styles than us. In part due to community overlap, in part due to better tag visibility and in part for other minor reasons, switch over to that style. This commit changes all instances where we have a single declared license in the tag as both the before and after are identical in tag contents. There's also a few places where I found we did not have a tag and have introduced one. Signed-off-by: Tom Rini <trini@konsulko.com>
Diffstat (limited to 'env')
-rw-r--r--env/Makefile4
-rw-r--r--env/attr.c3
-rw-r--r--env/callback.c3
-rw-r--r--env/common.c3
-rw-r--r--env/eeprom.c3
-rw-r--r--env/embedded.c3
-rw-r--r--env/env.c3
-rw-r--r--env/ext4.c3
-rw-r--r--env/fat.c3
-rw-r--r--env/flags.c3
-rw-r--r--env/flash.c3
-rw-r--r--env/mmc.c3
-rw-r--r--env/nand.c3
-rw-r--r--env/nowhere.c3
-rw-r--r--env/nvram.c3
-rw-r--r--env/onenand.c3
-rw-r--r--env/remote.c3
-rw-r--r--env/sata.c3
-rw-r--r--env/sf.c3
-rw-r--r--env/ubi.c3
20 files changed, 20 insertions, 41 deletions
diff --git a/env/Makefile b/env/Makefile
index 7ce8231d9a7..fa635c80828 100644
--- a/env/Makefile
+++ b/env/Makefile
@@ -1,9 +1,7 @@
+# SPDX-License-Identifier: GPL-2.0+
#
# (C) Copyright 2004-2006
# Wolfgang Denk, DENX Software Engineering, wd@denx.de.
-#
-# SPDX-License-Identifier: GPL-2.0+
-#
obj-y += common.o env.o
diff --git a/env/attr.c b/env/attr.c
index f965b4bbb6a..a958c714828 100644
--- a/env/attr.c
+++ b/env/attr.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2012
* Joe Hershberger, National Instruments, joe.hershberger@ni.com
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#ifdef USE_HOSTCC /* Eliminate "ANSI does not permit..." warnings */
diff --git a/env/callback.c b/env/callback.c
index be70980f04e..54d2de4a962 100644
--- a/env/callback.c
+++ b/env/callback.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2012
* Joe Hershberger, National Instruments, joe.hershberger@ni.com
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/common.c b/env/common.c
index 8f602de9d28..dc8a14f5190 100644
--- a/env/common.c
+++ b/env/common.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/eeprom.c b/env/eeprom.c
index 63842d6ff3e..ac2689cc148 100644
--- a/env/eeprom.c
+++ b/env/eeprom.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/embedded.c b/env/embedded.c
index 9b0a6a3c3da..b1090e90e59 100644
--- a/env/embedded.c
+++ b/env/embedded.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2001
* Erik Theisen, Wave 7 Optics, etheisen@mindspring.com.
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <linux/kconfig.h>
diff --git a/env/env.c b/env/env.c
index 90d65974d0c..5c0842ac07e 100644
--- a/env/env.c
+++ b/env/env.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* Copyright (C) 2017 Google, Inc
* Written by Simon Glass <sjg@chromium.org>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/ext4.c b/env/ext4.c
index f4cb28570c4..7626784ca63 100644
--- a/env/ext4.c
+++ b/env/ext4.c
@@ -1,3 +1,4 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (c) Copyright 2016 by VRT Technology
*
@@ -15,8 +16,6 @@
* EXT4 filesystem implementation in Uboot by
* Uma Shankar <uma.shankar@samsung.com>
* Manjunatha C Achar <a.manjunatha@samsung.com>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/fat.c b/env/fat.c
index befbc1efdd3..5e5b1efe893 100644
--- a/env/fat.c
+++ b/env/fat.c
@@ -1,10 +1,9 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (c) Copyright 2011 by Tigris Elektronik GmbH
*
* Author:
* Maximilian Schwerin <mvs@tigris.de>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/flags.c b/env/flags.c
index 4b0ddb6bb85..79dccc05fe2 100644
--- a/env/flags.c
+++ b/env/flags.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2012
* Joe Hershberger, National Instruments, joe.hershberger@ni.com
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <linux/string.h>
diff --git a/env/flash.c b/env/flash.c
index ccade77ce38..32236c716ec 100644
--- a/env/flash.c
+++ b/env/flash.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
-
- * SPDX-License-Identifier: GPL-2.0+
*/
/* #define DEBUG */
diff --git a/env/mmc.c b/env/mmc.c
index bf7015cd142..5e3da6dca75 100644
--- a/env/mmc.c
+++ b/env/mmc.c
@@ -1,7 +1,6 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2008-2011 Freescale Semiconductor, Inc.
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
/* #define DEBUG */
diff --git a/env/nand.c b/env/nand.c
index 904f1c40d62..aecf445c21e 100644
--- a/env/nand.c
+++ b/env/nand.c
@@ -1,3 +1,4 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
@@ -10,8 +11,6 @@
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/nowhere.c b/env/nowhere.c
index f654883c8ac..ea6c32eb3b7 100644
--- a/env/nowhere.c
+++ b/env/nowhere.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
-
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/nvram.c b/env/nvram.c
index 7cc62b631ec..df1b37913d5 100644
--- a/env/nvram.c
+++ b/env/nvram.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
*
* (C) Copyright 2001 Sysgo Real-Time Solutions, GmbH <www.elinos.com>
* Andreas Heppel <aheppel@sysgo.de>
-
- * SPDX-License-Identifier: GPL-2.0+
*/
/*
diff --git a/env/onenand.c b/env/onenand.c
index 10a8cccbe8a..d371bd757cb 100644
--- a/env/onenand.c
+++ b/env/onenand.c
@@ -1,11 +1,10 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2010 DENX Software Engineering
* Wolfgang Denk <wd@denx.de>
*
* (C) Copyright 2005-2009 Samsung Electronics
* Kyungmin Park <kyungmin.park@samsung.com>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
diff --git a/env/remote.c b/env/remote.c
index 379d0eb1bb3..b1a7d1a4c12 100644
--- a/env/remote.c
+++ b/env/remote.c
@@ -1,7 +1,6 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2011-2012 Freescale Semiconductor, Inc.
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
/* #define DEBUG */
diff --git a/env/sata.c b/env/sata.c
index c3e530eb314..e5715e6d51e 100644
--- a/env/sata.c
+++ b/env/sata.c
@@ -1,7 +1,6 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2010-2016 Freescale Semiconductor, Inc.
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
/* #define DEBUG */
diff --git a/env/sf.c b/env/sf.c
index 6326b37e464..7f7f731992f 100644
--- a/env/sf.c
+++ b/env/sf.c
@@ -1,3 +1,4 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (C) Copyright 2000-2010
* Wolfgang Denk, DENX Software Engineering, wd@denx.de.
@@ -6,8 +7,6 @@
* Andreas Heppel <aheppel@sysgo.de>
*
* (C) Copyright 2008 Atmel Corporation
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>
#include <dm.h>
diff --git a/env/ubi.c b/env/ubi.c
index d4f4901ac4b..d28247b34d3 100644
--- a/env/ubi.c
+++ b/env/ubi.c
@@ -1,8 +1,7 @@
+// SPDX-License-Identifier: GPL-2.0+
/*
* (c) Copyright 2012 by National Instruments,
* Joe Hershberger <joe.hershberger@ni.com>
- *
- * SPDX-License-Identifier: GPL-2.0+
*/
#include <common.h>