From 3c2fb520efc6a24a4c7f6cc6251b982279a0e34a Mon Sep 17 00:00:00 2001 From: Marcel Ziswiler Date: Mon, 6 Jan 2020 17:13:43 +0100 Subject: tdx-base.inc: increment thud version to 3.0b4 Signed-off-by: Marcel Ziswiler --- conf/distro/include/tdx-base.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/conf/distro/include/tdx-base.inc b/conf/distro/include/tdx-base.inc index bf14f40..b199977 100644 --- a/conf/distro/include/tdx-base.inc +++ b/conf/distro/include/tdx-base.inc @@ -10,8 +10,8 @@ DISTRO_VERSION = "2.6-snapshot-${DATE}" TDX_VERMAJOR = "3" TDX_VERMINOR = "0" -TDX_VERBETA = "b3" -TDX_VERPATCH = "3" +TDX_VERBETA = "b4" +TDX_VERPATCH = "4" TDX_BUILDNB ?= "" TDX_VERNIGHTLY ?= "" TDX_VERDATE ?= "-${DATE}" -- cgit v1.2.3