From 3b9a40e5b1d4ef24157752de55e928407921828f Mon Sep 17 00:00:00 2001 From: Marcel Ziswiler Date: Mon, 17 Jun 2019 14:49:42 +0200 Subject: tdx-base.inc: increment thud version to 3.0b2 Signed-off-by: Marcel Ziswiler --- conf/distro/include/tdx-base.inc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/conf/distro/include/tdx-base.inc b/conf/distro/include/tdx-base.inc index a25c986..ae98f0d 100644 --- a/conf/distro/include/tdx-base.inc +++ b/conf/distro/include/tdx-base.inc @@ -10,8 +10,8 @@ DISTRO_VERSION = "2.6-snapshot-${DATE}" TDX_VERMAJOR = "3" TDX_VERMINOR = "0" -TDX_VERBETA = "b1" -TDX_VERPATCH = "1" +TDX_VERBETA = "b2" +TDX_VERPATCH = "2" TDX_BUILDNB ?= "" TDX_VERNIGHTLY ?= "" TDX_VERDATE ?= "-${DATE}" -- cgit v1.2.3