summaryrefslogtreecommitdiff
path: root/examples/imx7d_sdb_m4/demo_apps/rpmsg
diff options
context:
space:
mode:
authorStefan Agner <stefan@agner.ch>2016-05-02 19:13:19 -0700
committerStefan Agner <stefan@agner.ch>2016-05-09 17:17:05 -0700
commit21d6d84123de8e6e2ebdf5543b530403951b3059 (patch)
tree046a7fa39e1c7cff49792ac67f1ae899271a56b7 /examples/imx7d_sdb_m4/demo_apps/rpmsg
parent2fb8ccd4adf6433033a402e2fa07c2f11c489518 (diff)
resync with FreeRTOS_BSP_1.0.1_iMX7D
Diffstat (limited to 'examples/imx7d_sdb_m4/demo_apps/rpmsg')
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/common/linker/arm/MCIMX7D_M4_tcm_rpmsg.scf90
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/.project86
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/makedir.bat1
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/rpmsg_pingpong_example.wsd9
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.ewd9419
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.ewp1891
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.eww4
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/CMakeLists.txt172
-rw-r--r--[-rwxr-xr-x]examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_all.bat (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_all.bat)0
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_all.sh (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_all.sh)0
-rw-r--r--[-rwxr-xr-x]examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_debug.bat (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_debug.bat)0
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_debug.sh (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_debug.sh)0
-rw-r--r--[-rwxr-xr-x]examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_release.bat (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_release.bat)0
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_release.sh (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_release.sh)0
-rw-r--r--[-rwxr-xr-x]examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/clean.bat (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/clean.bat)0
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/clean.sh (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/clean.sh)0
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/ds5/.cproject (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/.cproject)40
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/ds5/.project86
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/hardware_init.c (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/hardware_init.c)0
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/pingpong_bm.c (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/pingpong.c)139
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/FreeRTOSConfig.h163
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/CMakeLists.txt (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/CMakeLists.txt)64
-rw-r--r--[-rwxr-xr-x]examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_all.bat (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_all.bat)0
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_all.sh (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_all.sh)0
-rw-r--r--[-rwxr-xr-x]examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_debug.bat (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_debug.bat)0
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_debug.sh (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_debug.sh)0
-rw-r--r--[-rwxr-xr-x]examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_release.bat (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_release.bat)0
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_release.sh (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_release.sh)0
-rw-r--r--[-rwxr-xr-x]examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/clean.bat (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/clean.bat)0
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/clean.sh (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/clean.sh)0
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/ds5/.cproject137
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/ds5/.project86
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/hardware_init.c (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/hardware_init.c)0
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/pingpong_freertos.c136
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/.project86
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/makedir.bat1
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/rpmsg_str_echo_example.wsd9
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.ewd9419
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.ewp1891
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.eww4
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/CMakeLists.txt172
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_all.bat5
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_all.sh5
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_debug.bat3
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_debug.sh3
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_release.bat3
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_release.sh3
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/clean.bat3
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/clean.sh3
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/ds5/.cproject (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/.cproject)40
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/ds5/.project86
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/hardware_init.c54
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/str_echo_bm.c (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/str_echo.c)202
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/FreeRTOSConfig.h163
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/CMakeLists.txt (renamed from examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/CMakeLists.txt)64
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_all.bat5
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_all.sh5
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_debug.bat3
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_debug.sh3
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_release.bat3
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_release.sh3
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/clean.bat3
-rwxr-xr-xexamples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/clean.sh3
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/ds5/.cproject137
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/ds5/.project86
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/hardware_init.c54
-rw-r--r--examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/str_echo_freertos.c146
67 files changed, 2107 insertions, 23086 deletions
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/common/linker/arm/MCIMX7D_M4_tcm_rpmsg.scf b/examples/imx7d_sdb_m4/demo_apps/rpmsg/common/linker/arm/MCIMX7D_M4_tcm_rpmsg.scf
new file mode 100644
index 0000000..39ffe13
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/common/linker/arm/MCIMX7D_M4_tcm_rpmsg.scf
@@ -0,0 +1,90 @@
+#! armcc -E
+/*
+** ###################################################################
+** Processors: MCIMX7D7DVK10SA
+** MCIMX7D7DVM10SA
+** MCIMX7D3DVK10SA
+** MCIMX7D3EVM10SA
+**
+** Compiler: ARM C/C++ Compiler
+** Reference manual: IMX7DRM, Rev.A, February 2015
+** Version: rev. 1.0, 2015-07-08
+**
+** Abstract:
+** Linker file for the ARM C/C++ Compiler
+**
+** Copyright (c) 2015 Freescale Semiconductor, Inc.
+** All rights reserved.
+**
+** Redistribution and use in source and binary forms, with or without modification,
+** are permitted provided that the following conditions are met:
+**
+** o Redistributions of source code must retain the above copyright notice, this list
+** of conditions and the following disclaimer.
+**
+** o Redistributions in binary form must reproduce the above copyright notice, this
+** list of conditions and the following disclaimer in the documentation and/or
+** other materials provided with the distribution.
+**
+** o Neither the name of Freescale Semiconductor, Inc. nor the names of its
+** contributors may be used to endorse or promote products derived from this
+** software without specific prior written permission.
+**
+** THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+** ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+** WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+** DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR
+** ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+** (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+** LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
+** ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+** (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+** SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+**
+** http: www.freescale.com
+** mail: support@freescale.com
+**
+** ###################################################################
+*/
+
+#define m_interrupts_start 0x1FFF8000
+#define m_interrupts_size 0x00000240
+
+#define m_text_start 0x1FFF8240
+#define m_text_size 0x00007DC0
+
+#define m_data_start 0x20000000
+#define m_data_size 0x8000
+
+/* Sizes */
+#if (defined(__stack_size__))
+ #define Stack_Size __stack_size__
+#else
+ #define Stack_Size 0x0400
+#endif
+
+#if (defined(__heap_size__))
+ #define Heap_Size __heap_size__
+#else
+ #define Heap_Size 0x4000
+#endif
+
+LR_m_text m_text_start m_text_size { ; load region size_region
+ ER_m_text m_text_start m_text_size { ; load address = execution address
+ * (InRoot$$Sections)
+ .ANY (+RO)
+ }
+ RW_m_data m_data_start m_data_size { ; RW data
+ .ANY (+RW +ZI)
+ }
+ ARM_LIB_HEAP m_data_start+m_data_size-Heap_Size-Stack_Size EMPTY Heap_Size { ; Heap region growing up
+ }
+ ARM_LIB_STACK m_data_start+m_data_size EMPTY -Stack_Size { ; Stack region growing down
+ }
+}
+
+LR_m_interrupts m_interrupts_start m_interrupts_size {
+ VECTOR_ROM m_interrupts_start m_interrupts_size { ; load address = execution address
+ * (RESET,+FIRST)
+ }
+}
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/.project b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/.project
deleted file mode 100644
index 1d92d06..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/.project
+++ /dev/null
@@ -1,86 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<projectDescription>
- <name>rpmsg_pingpong_example_imx7d_sdb_m4</name>
- <comment/>
- <projects>
- </projects>
- <buildSpec>
- <buildCommand>
- <name>org.eclipse.cdt.managedbuilder.core.genmakebuilder</name>
- <triggers>clean,full,incremental,</triggers>
- <arguments>
- <dictionary>
- <key>?name?</key>
- <value/>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.append_environment</key>
- <value>true</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.autoBuildTarget</key>
- <value>all</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.buildArguments</key>
- <value/>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.buildCommand</key>
- <value>make</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.buildLocation</key>
- <value/>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.cleanBuildTarget</key>
- <value>clean</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.contents</key>
- <value>org.eclipse.cdt.make.core.activeConfigSettings</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.enableAutoBuild</key>
- <value>false</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.enableCleanBuild</key>
- <value>true</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.enableFullBuild</key>
- <value>true</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.fullBuildTarget</key>
- <value>all</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.stopOnError</key>
- <value>true</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.useDefaultBuildCmd</key>
- <value>true</value>
- </dictionary>
- </arguments>
- </buildCommand>
- <buildCommand>
- <name>org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder</name>
- <triggers>full,incremental,</triggers>
- <arguments>
- </arguments>
- </buildCommand>
- </buildSpec>
- <natures>
- <nature>org.eclipse.cdt.core.cnature</nature>
- <nature>org.eclipse.cdt.managedbuilder.core.managedBuildNature</nature>
- <nature>org.eclipse.cdt.managedbuilder.core.ScannerConfigNature</nature>
- </natures>
- <linkedResources>
- <link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/port.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F/port.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/portmacro.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F/portmacro.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/startup_MCIMX7D_M4.s</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/arm/startup_MCIMX7D_M4.s</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/heap_2.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/MemMang/heap_2.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/plat_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/plat_porting.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/env.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/env.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio_ring.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio_ring.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/croutine.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/croutine.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/event_groups.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/event_groups.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/FreeRTOS.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/FreeRTOS.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/list.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/list.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/mpu_wrappers.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/mpu_wrappers.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/portable.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/portable.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/projdefs.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/projdefs.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/queue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/queue.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/semphr.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/semphr.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/StackMacros.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/StackMacros.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/task.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/task.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/timers.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/timers.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_analog_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc_defs_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc_defs_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/wdog_imx.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform_info.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/freertos_env.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/freertos_env.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/remote_device.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/remote_device.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/croutine.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/croutine.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/event_groups.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/event_groups.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/list.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/list.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/queue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/queue.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/tasks.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/tasks.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/timers.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/timers.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_analog_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/rdc.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/wdog_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/debug_console_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/inc/debug_console_imx.h</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/hardware_init.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/hardware_init.c</locationURI></link><link><name>source</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>source/pingpong.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/pingpong.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/uart_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/uart_imx.h</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/mu_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/mu_imx.h</locationURI></link></linkedResources>
- <variableList>
- </variableList>
-</projectDescription>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/makedir.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/makedir.bat
deleted file mode 100755
index 4860035..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/makedir.bat
+++ /dev/null
@@ -1 +0,0 @@
-IF NOT EXIST "%1" mkdir "%1"
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/rpmsg_pingpong_example.wsd b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/rpmsg_pingpong_example.wsd
deleted file mode 100644
index 1e40fa1..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/rpmsg_pingpong_example.wsd
+++ /dev/null
@@ -1,9 +0,0 @@
-<?xml version="1.0"?>
-<workspace xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="workingsets.xsd">
- <projects>
- <project><name>rpmsg_pingpong_example_imx7d_sdb_m4</name><path>.</path><open>true</open><activeconfig>release</activeconfig><buildreferences config="release">false</buildreferences><activeconfig>debug</activeconfig><buildreferences config="debug">false</buildreferences></project></projects>
- <workingsets>
- <workingSet editPageId="org.eclipse.cdt.ui.CElementWorkingSetPage" id="1323268527287_1" label="rpmsg_pingpong_example" name="rpmsg_pingpong_example"><item factoryID="org.eclipse.cdt.ui.PersistableCElementFactory" path="/rpmsg_pingpong_example_imx7d_sdb_m4" type="4"/></workingSet></workingsets>
- <cdtconfigurations>
- <workingSet name="rpmsg_pingpong_example"><config name="release"><project config="com.freescale.arm.cdt.toolchain.config.arm.release.695495605" name="rpmsg_pingpong_example_imx7d_sdb_m4" configName="release"/></config><config name="debug"><project config="com.freescale.arm.cdt.toolchain.config.arm.release.695495605" name="rpmsg_pingpong_example_imx7d_sdb_m4" configName="debug"/></config></workingSet></cdtconfigurations>
-</workspace>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.ewd b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.ewd
deleted file mode 100644
index 7f9ea8c..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.ewd
+++ /dev/null
@@ -1,9419 +0,0 @@
-<?xml version="1.0" encoding="iso-8859-1"?>
-<project>
- <fileVersion>2</fileVersion>
- <configuration>
- <name>Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>1</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>6.50.6.4952</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>7.10.1.6733</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>5</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>5000</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Release</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>0</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>1</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>6.50.6.4952</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.70.3.6347</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>5</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>5000</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Flash SramData Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state/>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Flash SramData Release</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>0</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state>10.171.88.231</state>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Flash DDRData Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state/>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Flash DDRData Release</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>0</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state>10.171.88.231</state>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Ram Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>0</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state/>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
-</project>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.ewp b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.ewp
deleted file mode 100644
index f7017c4..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.ewp
+++ /dev/null
@@ -1,1891 +0,0 @@
-<?xml version="1.0" encoding="iso-8859-1"?>
-<project>
- <fileVersion>2</fileVersion>
- <configuration>
- <name>Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>General</name>
- <archiveVersion>3</archiveVersion>
- <data>
- <version>22</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>ExePath</name>
- <state>$PROJ_DIR$/debug</state>
- </option>
- <option>
- <name>ObjPath</name>
- <state>$PROJ_DIR$/debug/obj</state>
- </option>
- <option>
- <name>ListPath</name>
- <state>$PROJ_DIR$/debug/list</state>
- </option>
- <option>
- <name>Variant</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>GEndianMode</name>
- <state>0</state>
- </option>
- <option>
- <name>Input variant</name>
- <version>3</version>
- <state>6</state>
- </option>
- <option>
- <name>Input description</name>
- <state>Automatic choice of formatter.</state>
- </option>
- <option>
- <name>Output variant</name>
- <version>2</version>
- <state>5</state>
- </option>
- <option>
- <name>Output description</name>
- <state>Automatic choice of formatter.</state>
- </option>
- <option>
- <name>GOutputBinary</name>
- <state>0</state>
- </option>
- <option>
- <name>FPU</name>
- <version>2</version>
- <state>5</state>
- </option>
- <option>
- <name>OGCoreOrChip</name>
- <state>0</state>
- </option>
- <option>
- <name>GRuntimeLibSelect</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>GRuntimeLibSelectSlave</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>RTDescription</name>
- <state>0</state>
- </option>
- <option>
- <name>OGProductVersion</name>
- <state>6.50.6.4952</state>
- </option>
- <option>
- <name>OGLastSavedByProductVersion</name>
- <state>7.20.1.7306</state>
- </option>
- <option>
- <name>GeneralEnableMisra</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraVerbose</name>
- <state>0</state>
- </option>
- <option>
- <name>OGChipSelectEditMenu</name>
- <state/>
- </option>
- <option>
- <name>GenLowLevelInterface</name>
- <state>1</state>
- </option>
- <option>
- <name>GEndianModeBE</name>
- <state>1</state>
- </option>
- <option>
- <name>OGBufferedTerminalOutput</name>
- <state>0</state>
- </option>
- <option>
- <name>GenStdoutInterface</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraRules98</name>
- <version>0</version>
- <state>1000111110110101101110011100111111101110011011000101110111101101100111111111111100110011111001110111001111111111111111111111111</state>
- </option>
- <option>
- <name>GeneralMisraVer</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraRules04</name>
- <version>0</version>
- <state>111101110010111111111000110111111111111111111111111110010111101111010101111111111111111111111111101111111011111001111011111011111111111111111</state>
- </option>
- <option>
- <name>RTConfigPath2</name>
- <state>$TOOLKIT_DIR$\INC\c\DLib_Config_Normal.h</state>
- </option>
- <option>
- <name>GFPUCoreSlave</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>GBECoreSlave</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>OGUseCmsis</name>
- <state>0</state>
- </option>
- <option>
- <name>OGUseCmsisDspLib</name>
- <state>0</state>
- </option>
- <option>
- <name>GRuntimeLibThreads</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>ICCARM</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>31</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCDefines</name>
-
- <state>__DEBUG</state><state>CPU_IMX7D_M4</state></option>
- <option>
- <name>CCPreprocFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPreprocComments</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPreprocLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCMnemonics</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCMessages</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListAssFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListAssSource</name>
- <state>0</state>
- </option>
- <option>
- <name>CCEnableRemarks</name>
- <state>0</state>
- </option>
- <option>
- <name>CCDiagSuppress</name>
- <state>Pa039,Pe068,Pe069,Pa082,Pe177,Pe186,Pe550,Pa050</state>
- </option>
- <option>
- <name>CCDiagRemark</name>
- <state/>
- </option>
- <option>
- <name>CCDiagWarning</name>
- <state/>
- </option>
- <option>
- <name>CCDiagError</name>
- <state/>
- </option>
- <option>
- <name>CCObjPrefix</name>
- <state>1</state>
- </option>
- <option>
- <name>CCAllowList</name>
- <version>1</version>
- <state>00000000</state>
- </option>
- <option>
- <name>CCDebugInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IEndianMode</name>
- <state>1</state>
- </option>
- <option>
- <name>IProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>IExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CCLangConformance</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSignedPlainChar</name>
- <state>1</state>
- </option>
- <option>
- <name>CCRequirePrototypes</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMultibyteSupport</name>
- <state>0</state>
- </option>
- <option>
- <name>CCDiagWarnAreErr</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCompilerRuntimeInfo</name>
- <state>0</state>
- </option>
- <option>
- <name>IFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OutputFile</name>
- <state>$FILE_BNAME$.o</state>
- </option>
- <option>
- <name>CCLibConfigHeader</name>
- <state>1</state>
- </option>
- <option>
- <name>PreInclude</name>
- <state/>
- </option>
- <option>
- <name>CompilerMisraOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>CCIncludePath2</name>
-
- <state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F</state><state>$PROJ_DIR$/../../../../../../platform/CMSIS/Include</state><state>$PROJ_DIR$/../../../../../../platform/devices</state><state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/include</state><state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup</state><state>$PROJ_DIR$/../../../../../../platform/drivers/inc</state><state>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4</state><state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include</state><state>$PROJ_DIR$/../../../../../../platform/utilities/inc</state><state>$PROJ_DIR$/../../../..</state><state>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp</state></option>
- <option>
- <name>CCStdIncCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCodeSection</name>
- <state>.text</state>
- </option>
- <option>
- <name>IInterwork2</name>
- <state>0</state>
- </option>
- <option>
- <name>IProcessorMode2</name>
- <state>1</state>
- </option>
- <option>
- <name>CCOptLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>CCOptStrategy</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCOptLevelSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CompilerMisraRules98</name>
- <version>0</version>
- <state>1000111110110101101110011100111111101110011011000101110111101101100111111111111100110011111001110111001111111111111111111111111</state>
- </option>
- <option>
- <name>CompilerMisraRules04</name>
- <version>0</version>
- <state>111101110010111111111000110111111111111111111111111110010111101111010101111111111111111111111111101111111011111001111011111011111111111111111</state>
- </option>
- <option>
- <name>CCPosIndRopi</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPosIndRwpi</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPosIndNoDynInit</name>
- <state>0</state>
- </option>
- <option>
- <name>IccLang</name>
- <state>0</state>
- </option>
- <option>
- <name>IccCDialect</name>
- <state>1</state>
- </option>
- <option>
- <name>IccAllowVLA</name>
- <state>0</state>
- </option>
- <option>
- <name>IccCppDialect</name>
- <state>2</state>
- </option>
- <option>
- <name>IccExceptions</name>
- <state>1</state>
- </option>
- <option>
- <name>IccRTTI</name>
- <state>1</state>
- </option>
- <option>
- <name>IccStaticDestr</name>
- <state>1</state>
- </option>
- <option>
- <name>IccCppInlineSemantics</name>
- <state>1</state>
- </option>
- <option>
- <name>IccCmsis</name>
- <state>1</state>
- </option>
- <option>
- <name>IccFloatSemantics</name>
- <state>0</state>
- </option>
- <option>
- <name>CCOptimizationNoSizeConstraints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCNoLiteralPool</name>
- <state>0</state>
- </option>
- <option>
- <name>CCOptStrategySlave</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCGuardCalls</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>AARM</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>9</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>AObjPrefix</name>
- <state>1</state>
- </option>
- <option>
- <name>AEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>ACaseSensitivity</name>
- <state>1</state>
- </option>
- <option>
- <name>MacroChars</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>AWarnEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>AWarnWhat</name>
- <state>0</state>
- </option>
- <option>
- <name>AWarnOne</name>
- <state/>
- </option>
- <option>
- <name>AWarnRange1</name>
- <state/>
- </option>
- <option>
- <name>AWarnRange2</name>
- <state/>
- </option>
- <option>
- <name>ADebug</name>
- <state>1</state>
- </option>
- <option>
- <name>AltRegisterNames</name>
- <state>1</state>
- </option>
- <option>
- <name>ADefines</name>
-
- <state>__DEBUG</state></option>
- <option>
- <name>AList</name>
- <state>0</state>
- </option>
- <option>
- <name>AListHeader</name>
- <state>1</state>
- </option>
- <option>
- <name>AListing</name>
- <state>1</state>
- </option>
- <option>
- <name>Includes</name>
- <state>0</state>
- </option>
- <option>
- <name>MacDefs</name>
- <state>0</state>
- </option>
- <option>
- <name>MacExps</name>
- <state>1</state>
- </option>
- <option>
- <name>MacExec</name>
- <state>0</state>
- </option>
- <option>
- <name>OnlyAssed</name>
- <state>0</state>
- </option>
- <option>
- <name>MultiLine</name>
- <state>0</state>
- </option>
- <option>
- <name>PageLengthCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>PageLength</name>
- <state>80</state>
- </option>
- <option>
- <name>TabSpacing</name>
- <state>8</state>
- </option>
- <option>
- <name>AXRef</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefDefines</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefInternal</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefDual</name>
- <state>0</state>
- </option>
- <option>
- <name>AProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>AFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>AOutputFile</name>
- <state>$FILE_BNAME$.o</state>
- </option>
- <option>
- <name>AMultibyteSupport</name>
- <state>0</state>
- </option>
- <option>
- <name>ALimitErrorsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>ALimitErrorsEdit</name>
- <state>100</state>
- </option>
- <option>
- <name>AIgnoreStdInclude</name>
- <state>0</state>
- </option>
- <option>
- <name>AUserIncludes</name>
-
- <state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F</state><state>$PROJ_DIR$/../../../..</state></option>
- <option>
- <name>AExtraOptionsCheckV2</name>
- <state>0</state>
- </option>
- <option>
- <name>AExtraOptionsV2</name>
- <state/>
- </option>
- <option>
- <name>AsmNoLiteralPool</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>OBJCOPY</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OOCOutputFormat</name>
- <version>2</version>
- <state>2</state>
- </option>
- <option>
- <name>OCOutputOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OOCOutputFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OOCCommandLineProducer</name>
- <state>1</state>
- </option>
- <option>
- <name>OOCObjCopyEnable</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CUSTOM</name>
- <archiveVersion>3</archiveVersion>
- <data>
- <extensions/>
- <cmdline/>
- </data>
- </settings>
- <settings>
- <name>BICOMP</name>
- <archiveVersion>0</archiveVersion>
- <data/>
- </settings>
- <settings>
- <name>BUILDACTION</name>
- <archiveVersion>1</archiveVersion>
- <data>
- <prebuild/>
- <postbuild/>
- </data>
- </settings>
- <settings>
- <name>ILINK</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>16</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>IlinkLibIOConfig</name>
- <state>1</state>
- </option>
- <option>
- <name>XLinkMisraHandler</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkInputFileSlave</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOutputFile</name>
- <state>rpmsg_pingpong_example.out</state>
- </option>
- <option>
- <name>IlinkDebugInfoEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkKeepSymbols</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinaryFile</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinarySymbol</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinarySegment</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinaryAlign</name>
- <state/>
- </option>
- <option>
- <name>IlinkDefines</name>
- <state/>
- </option>
- <option>
- <name>IlinkConfigDefines</name>
-
- <state>__stack_size__=0x400</state><state>__heap_size__=0x200</state></option>
- <option>
- <name>IlinkMapFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkLogFile</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogInitialization</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogModule</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogSection</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogVeneer</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkIcfOverride</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkIcfFile</name>
- <state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/linker/iar/MCIMX7D_M4_tcm.icf</state>
- </option>
- <option>
- <name>IlinkIcfFileSlave</name>
- <state/>
- </option>
- <option>
- <name>IlinkEnableRemarks</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkSuppressDiags</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsRem</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsWarn</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsErr</name>
- <state/>
- </option>
- <option>
- <name>IlinkWarningsAreErrors</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkUseExtraOptions</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>IlinkLowLevelInterfaceSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkAutoLibEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkAdditionalLibs</name>
-
- </option>
- <option>
- <name>IlinkOverrideProgramEntryLabel</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkProgramEntryLabelSelect</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkProgramEntryLabel</name>
- <state>Reset_Handler</state>
- </option>
- <option>
- <name>DoFill</name>
- <state>0</state>
- </option>
- <option>
- <name>FillerByte</name>
- <state>0xFF</state>
- </option>
- <option>
- <name>FillerStart</name>
- <state>0x0</state>
- </option>
- <option>
- <name>FillerEnd</name>
- <state>0x0</state>
- </option>
- <option>
- <name>CrcSize</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>CrcAlign</name>
- <state>1</state>
- </option>
- <option>
- <name>CrcPoly</name>
- <state>0x11021</state>
- </option>
- <option>
- <name>CrcCompl</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CrcBitOrder</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CrcInitialValue</name>
- <state>0x0</state>
- </option>
- <option>
- <name>DoCrc</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkBufferedTerminalOutput</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkStdoutInterfaceSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CrcFullSize</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkIElfToolPostProcess</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogAutoLibSelect</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogRedirSymbols</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogUnusedFragments</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCrcReverseByteOrder</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCrcUseAsInput</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptInline</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOptExceptionsAllow</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptExceptionsForce</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCmsis</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptMergeDuplSections</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOptUseVfe</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptForceVfe</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkStackAnalysisEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkStackControlFile</name>
- <state/>
- </option>
- <option>
- <name>IlinkStackCallGraphFile</name>
- <state/>
- </option>
- <option>
- <name>CrcAlgorithm</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>CrcUnitSize</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IlinkThreadsSlave</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARCHIVE</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>IarchiveInputs</name>
- <state/>
- </option>
- <option>
- <name>IarchiveOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>IarchiveOutput</name>
- <state>###Unitialized###</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>BILINK</name>
- <archiveVersion>0</archiveVersion>
- <data/>
- </settings>
- </configuration>
- <configuration>
- <name>Release</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>0</debug>
- <settings>
- <name>General</name>
- <archiveVersion>3</archiveVersion>
- <data>
- <version>22</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>ExePath</name>
- <state>$PROJ_DIR$/release</state>
- </option>
- <option>
- <name>ObjPath</name>
- <state>$PROJ_DIR$/release/obj</state>
- </option>
- <option>
- <name>ListPath</name>
- <state>$PROJ_DIR$/release/list</state>
- </option>
- <option>
- <name>Variant</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>GEndianMode</name>
- <state>0</state>
- </option>
- <option>
- <name>Input variant</name>
- <version>3</version>
- <state>6</state>
- </option>
- <option>
- <name>Input description</name>
- <state>Automatic choice of formatter.</state>
- </option>
- <option>
- <name>Output variant</name>
- <version>2</version>
- <state>5</state>
- </option>
- <option>
- <name>Output description</name>
- <state>Automatic choice of formatter.</state>
- </option>
- <option>
- <name>GOutputBinary</name>
- <state>0</state>
- </option>
- <option>
- <name>FPU</name>
- <version>2</version>
- <state>5</state>
- </option>
- <option>
- <name>OGCoreOrChip</name>
- <state>0</state>
- </option>
- <option>
- <name>GRuntimeLibSelect</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>GRuntimeLibSelectSlave</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>RTDescription</name>
- <state>0</state>
- </option>
- <option>
- <name>OGProductVersion</name>
- <state>6.50.6.4952</state>
- </option>
- <option>
- <name>OGLastSavedByProductVersion</name>
- <state>7.20.1.7306</state>
- </option>
- <option>
- <name>GeneralEnableMisra</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraVerbose</name>
- <state>0</state>
- </option>
- <option>
- <name>OGChipSelectEditMenu</name>
- <state/>
- </option>
- <option>
- <name>GenLowLevelInterface</name>
- <state>0</state>
- </option>
- <option>
- <name>GEndianModeBE</name>
- <state>1</state>
- </option>
- <option>
- <name>OGBufferedTerminalOutput</name>
- <state>0</state>
- </option>
- <option>
- <name>GenStdoutInterface</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraRules98</name>
- <version>0</version>
- <state>1000111110110101101110011100111111101110011011000101110111101101100111111111111100110011111001110111001111111111111111111111111</state>
- </option>
- <option>
- <name>GeneralMisraVer</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraRules04</name>
- <version>0</version>
- <state>111101110010111111111000110111111111111111111111111110010111101111010101111111111111111111111111101111111011111001111011111011111111111111111</state>
- </option>
- <option>
- <name>RTConfigPath2</name>
- <state>$TOOLKIT_DIR$\INC\c\DLib_Config_Normal.h</state>
- </option>
- <option>
- <name>GFPUCoreSlave</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>GBECoreSlave</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>OGUseCmsis</name>
- <state>0</state>
- </option>
- <option>
- <name>OGUseCmsisDspLib</name>
- <state>0</state>
- </option>
- <option>
- <name>GRuntimeLibThreads</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>ICCARM</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>31</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CCDefines</name>
-
- <state>__NDEBUG</state><state>CPU_IMX7D_M4</state></option>
- <option>
- <name>CCPreprocFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPreprocComments</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPreprocLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCMnemonics</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCMessages</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListAssFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListAssSource</name>
- <state>0</state>
- </option>
- <option>
- <name>CCEnableRemarks</name>
- <state>0</state>
- </option>
- <option>
- <name>CCDiagSuppress</name>
- <state>Pa039,Pe068,Pe069,Pa082,Pe177,Pe186,Pe550,Pa050</state>
- </option>
- <option>
- <name>CCDiagRemark</name>
- <state/>
- </option>
- <option>
- <name>CCDiagWarning</name>
- <state/>
- </option>
- <option>
- <name>CCDiagError</name>
- <state/>
- </option>
- <option>
- <name>CCObjPrefix</name>
- <state>1</state>
- </option>
- <option>
- <name>CCAllowList</name>
- <version>1</version>
- <state>00010000</state>
- </option>
- <option>
- <name>CCDebugInfo</name>
- <state>0</state>
- </option>
- <option>
- <name>IEndianMode</name>
- <state>1</state>
- </option>
- <option>
- <name>IProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>IExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CCLangConformance</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSignedPlainChar</name>
- <state>1</state>
- </option>
- <option>
- <name>CCRequirePrototypes</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMultibyteSupport</name>
- <state>0</state>
- </option>
- <option>
- <name>CCDiagWarnAreErr</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCompilerRuntimeInfo</name>
- <state>0</state>
- </option>
- <option>
- <name>IFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OutputFile</name>
- <state>$FILE_BNAME$.o</state>
- </option>
- <option>
- <name>CCLibConfigHeader</name>
- <state>1</state>
- </option>
- <option>
- <name>PreInclude</name>
- <state/>
- </option>
- <option>
- <name>CompilerMisraOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>CCIncludePath2</name>
-
- <state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F</state><state>$PROJ_DIR$/../../../../../../platform/CMSIS/Include</state><state>$PROJ_DIR$/../../../../../../platform/devices</state><state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/include</state><state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup</state><state>$PROJ_DIR$/../../../../../../platform/drivers/inc</state><state>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4</state><state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include</state><state>$PROJ_DIR$/../../../../../../platform/utilities/inc</state><state>$PROJ_DIR$/../../../..</state><state>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp</state></option>
- <option>
- <name>CCStdIncCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCodeSection</name>
- <state>.text</state>
- </option>
- <option>
- <name>IInterwork2</name>
- <state>0</state>
- </option>
- <option>
- <name>IProcessorMode2</name>
- <state>1</state>
- </option>
- <option>
- <name>CCOptLevel</name>
- <state>3</state>
- </option>
- <option>
- <name>CCOptStrategy</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCOptLevelSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CompilerMisraRules98</name>
- <version>0</version>
- <state>1000111110110101101110011100111111101110011011000101110111101101100111111111111100110011111001110111001111111111111111111111111</state>
- </option>
- <option>
- <name>CompilerMisraRules04</name>
- <version>0</version>
- <state>111101110010111111111000110111111111111111111111111110010111101111010101111111111111111111111111101111111011111001111011111011111111111111111</state>
- </option>
- <option>
- <name>CCPosIndRopi</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPosIndRwpi</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPosIndNoDynInit</name>
- <state>0</state>
- </option>
- <option>
- <name>IccLang</name>
- <state>0</state>
- </option>
- <option>
- <name>IccCDialect</name>
- <state>1</state>
- </option>
- <option>
- <name>IccAllowVLA</name>
- <state>0</state>
- </option>
- <option>
- <name>IccCppDialect</name>
- <state>2</state>
- </option>
- <option>
- <name>IccExceptions</name>
- <state>1</state>
- </option>
- <option>
- <name>IccRTTI</name>
- <state>1</state>
- </option>
- <option>
- <name>IccStaticDestr</name>
- <state>1</state>
- </option>
- <option>
- <name>IccCppInlineSemantics</name>
- <state>1</state>
- </option>
- <option>
- <name>IccCmsis</name>
- <state>1</state>
- </option>
- <option>
- <name>IccFloatSemantics</name>
- <state>0</state>
- </option>
- <option>
- <name>CCOptimizationNoSizeConstraints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCNoLiteralPool</name>
- <state>0</state>
- </option>
- <option>
- <name>CCOptStrategySlave</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCGuardCalls</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>AARM</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>9</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>AObjPrefix</name>
- <state>1</state>
- </option>
- <option>
- <name>AEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>ACaseSensitivity</name>
- <state>1</state>
- </option>
- <option>
- <name>MacroChars</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>AWarnEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>AWarnWhat</name>
- <state>0</state>
- </option>
- <option>
- <name>AWarnOne</name>
- <state/>
- </option>
- <option>
- <name>AWarnRange1</name>
- <state/>
- </option>
- <option>
- <name>AWarnRange2</name>
- <state/>
- </option>
- <option>
- <name>ADebug</name>
- <state>0</state>
- </option>
- <option>
- <name>AltRegisterNames</name>
- <state>1</state>
- </option>
- <option>
- <name>ADefines</name>
-
- </option>
- <option>
- <name>AList</name>
- <state>0</state>
- </option>
- <option>
- <name>AListHeader</name>
- <state>1</state>
- </option>
- <option>
- <name>AListing</name>
- <state>1</state>
- </option>
- <option>
- <name>Includes</name>
- <state>0</state>
- </option>
- <option>
- <name>MacDefs</name>
- <state>0</state>
- </option>
- <option>
- <name>MacExps</name>
- <state>1</state>
- </option>
- <option>
- <name>MacExec</name>
- <state>0</state>
- </option>
- <option>
- <name>OnlyAssed</name>
- <state>0</state>
- </option>
- <option>
- <name>MultiLine</name>
- <state>0</state>
- </option>
- <option>
- <name>PageLengthCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>PageLength</name>
- <state>80</state>
- </option>
- <option>
- <name>TabSpacing</name>
- <state>8</state>
- </option>
- <option>
- <name>AXRef</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefDefines</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefInternal</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefDual</name>
- <state>0</state>
- </option>
- <option>
- <name>AProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>AFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>AOutputFile</name>
- <state>$FILE_BNAME$.o</state>
- </option>
- <option>
- <name>AMultibyteSupport</name>
- <state>0</state>
- </option>
- <option>
- <name>ALimitErrorsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>ALimitErrorsEdit</name>
- <state>100</state>
- </option>
- <option>
- <name>AIgnoreStdInclude</name>
- <state>0</state>
- </option>
- <option>
- <name>AUserIncludes</name>
-
- <state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F</state><state>$PROJ_DIR$/../../../..</state></option>
- <option>
- <name>AExtraOptionsCheckV2</name>
- <state>0</state>
- </option>
- <option>
- <name>AExtraOptionsV2</name>
- <state/>
- </option>
- <option>
- <name>AsmNoLiteralPool</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>OBJCOPY</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OOCOutputFormat</name>
- <version>2</version>
- <state>2</state>
- </option>
- <option>
- <name>OCOutputOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OOCOutputFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OOCCommandLineProducer</name>
- <state>1</state>
- </option>
- <option>
- <name>OOCObjCopyEnable</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CUSTOM</name>
- <archiveVersion>3</archiveVersion>
- <data>
- <extensions/>
- <cmdline/>
- </data>
- </settings>
- <settings>
- <name>BICOMP</name>
- <archiveVersion>0</archiveVersion>
- <data/>
- </settings>
- <settings>
- <name>BUILDACTION</name>
- <archiveVersion>1</archiveVersion>
- <data>
- <prebuild/>
- <postbuild/>
- </data>
- </settings>
- <settings>
- <name>ILINK</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>16</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>IlinkLibIOConfig</name>
- <state>1</state>
- </option>
- <option>
- <name>XLinkMisraHandler</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkInputFileSlave</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOutputFile</name>
- <state>rpmsg_pingpong_example.out</state>
- </option>
- <option>
- <name>IlinkDebugInfoEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkKeepSymbols</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinaryFile</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinarySymbol</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinarySegment</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinaryAlign</name>
- <state/>
- </option>
- <option>
- <name>IlinkDefines</name>
- <state/>
- </option>
- <option>
- <name>IlinkConfigDefines</name>
-
- <state>__stack_size__=0x400</state><state>__heap_size__=0x200</state></option>
- <option>
- <name>IlinkMapFile</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogFile</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogInitialization</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogModule</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogSection</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogVeneer</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkIcfOverride</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkIcfFile</name>
- <state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/linker/iar/MCIMX7D_M4_tcm.icf</state>
- </option>
- <option>
- <name>IlinkIcfFileSlave</name>
- <state/>
- </option>
- <option>
- <name>IlinkEnableRemarks</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkSuppressDiags</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsRem</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsWarn</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsErr</name>
- <state/>
- </option>
- <option>
- <name>IlinkWarningsAreErrors</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkUseExtraOptions</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>IlinkLowLevelInterfaceSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkAutoLibEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkAdditionalLibs</name>
-
- </option>
- <option>
- <name>IlinkOverrideProgramEntryLabel</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkProgramEntryLabelSelect</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkProgramEntryLabel</name>
- <state>Reset_Handler</state>
- </option>
- <option>
- <name>DoFill</name>
- <state>0</state>
- </option>
- <option>
- <name>FillerByte</name>
- <state>0xFF</state>
- </option>
- <option>
- <name>FillerStart</name>
- <state>0x0</state>
- </option>
- <option>
- <name>FillerEnd</name>
- <state>0x0</state>
- </option>
- <option>
- <name>CrcSize</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>CrcAlign</name>
- <state>1</state>
- </option>
- <option>
- <name>CrcPoly</name>
- <state>0x11021</state>
- </option>
- <option>
- <name>CrcCompl</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CrcBitOrder</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CrcInitialValue</name>
- <state>0x0</state>
- </option>
- <option>
- <name>DoCrc</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkBufferedTerminalOutput</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkStdoutInterfaceSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CrcFullSize</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkIElfToolPostProcess</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogAutoLibSelect</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogRedirSymbols</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogUnusedFragments</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCrcReverseByteOrder</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCrcUseAsInput</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptInline</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptExceptionsAllow</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptExceptionsForce</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCmsis</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptMergeDuplSections</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOptUseVfe</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptForceVfe</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkStackAnalysisEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkStackControlFile</name>
- <state/>
- </option>
- <option>
- <name>IlinkStackCallGraphFile</name>
- <state/>
- </option>
- <option>
- <name>CrcAlgorithm</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>CrcUnitSize</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IlinkThreadsSlave</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARCHIVE</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>IarchiveInputs</name>
- <state/>
- </option>
- <option>
- <name>IarchiveOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>IarchiveOutput</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>BILINK</name>
- <archiveVersion>0</archiveVersion>
- <data/>
- </settings>
- </configuration>
-
-
-
-
-
-<group><name>freertos</name><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F/port.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F/portasm.s</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F/portmacro.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/MemMang/heap_2.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/croutine.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/event_groups.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/FreeRTOS.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/list.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/mpu_wrappers.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/portable.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/projdefs.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/queue.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/semphr.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/StackMacros.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/task.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/timers.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/croutine.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/event_groups.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/list.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/queue.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/tasks.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/timers.c</name></file></group><group><name>startup</name><file><name>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup/iar/startup_MCIMX7D_M4.s</name></file><file><name>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h</name></file></group><group><name>rpmsg</name><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/plat_porting.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/hil/hil.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/llist/llist.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/config/config.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/env/env.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtio.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtio_ring.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/hil/hil.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/llist/llist.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/config/config.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/env/freertos_env.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/remote_device.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtio.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.c</name></file></group><group><name>system</name><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/ccm_analog_imx7d.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/ccm_imx7d.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/rdc.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/rdc_defs_imx7d.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/wdog_imx.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/ccm_analog_imx7d.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/ccm_imx7d.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/rdc.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/wdog_imx.c</name></file></group><group><name>utilities</name><file><name>$PROJ_DIR$/../../../../../../platform/utilities/src/debug_console_imx.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/utilities/inc/debug_console_imx.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/utilities/src/print_scan.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/utilities/src/print_scan.h</name></file></group><group><name>board</name><file><name>$PROJ_DIR$/../../../../pin_mux.c</name></file><file><name>$PROJ_DIR$/../../../../pin_mux.h</name></file><file><name>$PROJ_DIR$/../../../../board.c</name></file><file><name>$PROJ_DIR$/../../../../board.h</name></file><file><name>$PROJ_DIR$/../../../../clock_freq.c</name></file><file><name>$PROJ_DIR$/../../../../clock_freq.h</name></file><file><name>$PROJ_DIR$/../hardware_init.c</name></file></group><group><name>source</name><file><name>$PROJ_DIR$/../pingpong.c</name></file></group><group><name>driver</name><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/uart_imx.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/uart_imx.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/mu_imx.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/mu_imx.h</name></file></group></project>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.eww b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.eww
deleted file mode 100644
index 748cbcf..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/iar/rpmsg_pingpong_example.eww
+++ /dev/null
@@ -1,4 +0,0 @@
-<?xml version="1.0" encoding="iso-8859-1"?>
-<workspace>
-<batchBuild><batchDefinition><name>all</name><member><project>rpmsg_pingpong_example</project><configuration>Release</configuration></member><member><project>rpmsg_pingpong_example</project><configuration>Debug</configuration></member></batchDefinition><batchDefinition><name>Release</name><member><project>rpmsg_pingpong_example</project><configuration>Release</configuration></member></batchDefinition><batchDefinition><name>Debug</name><member><project>rpmsg_pingpong_example</project><configuration>Debug</configuration></member></batchDefinition></batchBuild>
-<project><path>$WS_DIR$/rpmsg_pingpong_example.ewp</path></project></workspace>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/CMakeLists.txt b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/CMakeLists.txt
new file mode 100644
index 0000000..207d004
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/CMakeLists.txt
@@ -0,0 +1,172 @@
+INCLUDE(CMakeForceCompiler)
+
+# CROSS COMPILER SETTING
+SET(CMAKE_SYSTEM_NAME Generic)
+CMAKE_MINIMUM_REQUIRED (VERSION 2.6)
+
+# THE VERSION NUMBER
+SET (Tutorial_VERSION_MAJOR 1)
+SET (Tutorial_VERSION_MINOR 0)
+
+# ENABLE ASM
+ENABLE_LANGUAGE(ASM)
+
+SET(CMAKE_STATIC_LIBRARY_PREFIX)
+SET(CMAKE_STATIC_LIBRARY_SUFFIX)
+
+SET(CMAKE_EXECUTABLE_LIBRARY_PREFIX)
+SET(CMAKE_EXECUTABLE_LIBRARY_SUFFIX)
+
+
+# CURRENT DIRECTORY
+SET(ProjDirPath ${CMAKE_CURRENT_SOURCE_DIR})
+
+# DEBUG LINK FILE
+set(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -T${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/gcc/MCIMX7D_M4_tcm.ld -static")
+
+# RELEASE LINK FILE
+set(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -T${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/gcc/MCIMX7D_M4_tcm.ld -static")
+
+# DEBUG ASM FLAGS
+SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
+
+# DEBUG C FLAGS
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -g -O0 -fno-strict-aliasing -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
+
+# DEBUG LD FLAGS
+SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs -Xlinker --defsym=__stack_size__=0x400 -Xlinker --defsym=__heap_size__=0x4000")
+
+# RELEASE ASM FLAGS
+SET(CMAKE_ASM_FLAGS_RELEASE "${CMAKE_ASM_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
+
+# RELEASE C FLAGS
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -Os -fno-strict-aliasing -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
+
+# RELEASE LD FLAGS
+SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs -Xlinker --defsym=__stack_size__=0x400 -Xlinker --defsym=__heap_size__=0x4000")
+
+# ASM MACRO
+SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -D__DEBUG")
+
+# C MACRO
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -D__DEBUG")
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -DCPU_MCIMX7D_M4")
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -D__NDEBUG")
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -DCPU_MCIMX7D_M4")
+
+# CXX MACRO
+
+# INCLUDE_DIRECTORIES
+IF(CMAKE_BUILD_TYPE MATCHES Debug)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/CMSIS/Include)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/drivers/inc)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/utilities/inc)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm)
+ELSEIF(CMAKE_BUILD_TYPE MATCHES Release)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/CMSIS/Include)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/drivers/inc)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/utilities/inc)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm)
+ENDIF()
+
+# ADD_EXECUTABLE
+ADD_EXECUTABLE(rpmsg_pingpong_bm_example
+ "${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup/gcc/startup_MCIMX7D_M4.S"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/rpmsg_platform_porting.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_ext.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/hil/hil.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/llist/llist.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/config/config.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/env.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio_ring.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_rtos.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm/rpmsg_porting.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/ccm_analog_imx7d.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/ccm_imx7d.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/lmem.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/rdc.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/rdc_defs_imx7d.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/wdog_imx.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/hil/hil.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/llist/llist.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/config/config.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/remote_device.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_ext.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm/rpmsg_porting.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/ccm_analog_imx7d.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/ccm_imx7d.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/lmem.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/rdc.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/wdog_imx.c"
+ "${ProjDirPath}/../../../../../../platform/utilities/src/debug_console_imx.c"
+ "${ProjDirPath}/../../../../../../platform/utilities/inc/debug_console_imx.h"
+ "${ProjDirPath}/../../../../../../platform/utilities/src/print_scan.c"
+ "${ProjDirPath}/../../../../../../platform/utilities/src/print_scan.h"
+ "${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c"
+ "${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h"
+ "${ProjDirPath}/../../../../pin_mux.c"
+ "${ProjDirPath}/../../../../pin_mux.h"
+ "${ProjDirPath}/../../../../board.c"
+ "${ProjDirPath}/../../../../board.h"
+ "${ProjDirPath}/../../../../clock_freq.c"
+ "${ProjDirPath}/../../../../clock_freq.h"
+ "${ProjDirPath}/../hardware_init.c"
+ "${ProjDirPath}/../pingpong_bm.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/uart_imx.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/uart_imx.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/mu_imx.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/mu_imx.h"
+)
+SET_TARGET_PROPERTIES(rpmsg_pingpong_bm_example PROPERTIES OUTPUT_NAME "rpmsg_pingpong_bm_example.elf")
+
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_bm_example -Wl,--start-group)
+# LIBRARIES
+IF(CMAKE_BUILD_TYPE MATCHES Debug)
+ELSEIF(CMAKE_BUILD_TYPE MATCHES Release)
+ENDIF()
+
+# SYSTEM LIBRARIES
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_bm_example m)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_bm_example c)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_bm_example gcc)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_bm_example nosys)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_bm_example -Wl,--end-group)
+
+# MAP FILE
+SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker -Map=debug/rpmsg_pingpong_bm_example.map")
+SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -Xlinker -Map=release/rpmsg_pingpong_bm_example.map")
+
+# BIN AND HEX
+ADD_CUSTOM_COMMAND(TARGET rpmsg_pingpong_bm_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Oihex ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_bm_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_bm_example.hex)
+ADD_CUSTOM_COMMAND(TARGET rpmsg_pingpong_bm_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Obinary ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_bm_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_bm_example.bin)
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_all.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_all.bat
index 0cf721f..0cf721f 100755..100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_all.bat
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_all.bat
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_all.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_all.sh
index 3827529..3827529 100755
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_all.sh
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_all.sh
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_debug.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_debug.bat
index e9ccfdd..e9ccfdd 100755..100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_debug.bat
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_debug.bat
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_debug.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_debug.sh
index effd076..effd076 100755
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_debug.sh
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_debug.sh
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_release.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_release.bat
index 0759349..0759349 100755..100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_release.bat
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_release.bat
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_release.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_release.sh
index a12067d..a12067d 100755
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/build_release.sh
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/build_release.sh
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/clean.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/clean.bat
index ffea088..ffea088 100755..100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/clean.bat
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/clean.bat
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/clean.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/clean.sh
index 795ad87..795ad87 100755
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/clean.sh
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/armgcc/clean.sh
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/.cproject b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/ds5/.cproject
index a8c9b7d..e32cbf3 100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/ds5/.cproject
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/ds5/.cproject
@@ -3,21 +3,21 @@
<cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
<storageModule moduleId="org.eclipse.cdt.core.settings">
<cconfiguration id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623">
- <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" moduleId="org.eclipse.cdt.core.settings" name="Int Ram Debug">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" moduleId="org.eclipse.cdt.core.settings" name="debug">
<externalSettings/>
<extensions>
<extension id="com.arm.eclipse.builder.armcc.error" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
- <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" name="Int Ram Debug" parent="com.arm.eclipse.build.config.baremetal.exe.debug">
+ <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" name="debug" parent="com.arm.eclipse.build.config.baremetal.exe.debug" postbuildStep="fromelf --bincombined --output=${ProjName}.bin ${ProjName}.axf">
<folderInfo id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623." name="/" resourcePath="">
<toolChain errorParsers="com.arm.eclipse.builder.armcc.error" id="com.arm.toolchain.baremetal.exe.debug.505048968" name="ARM Compiler" nonInternalBuilderId="com.arm.toolchain.baremetal.builder" superClass="com.arm.toolchain.baremetal.exe.debug">
<targetPlatform binaryParser="" id="com.arm.toolchain.baremetal.exe.debug.505048968.350348883" name=""/>
- <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/Int Ram Debug}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.38020294" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
+ <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/debug}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.38020294" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
<tool command="armcc" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.c.compiler.baremetal.exe.debug.1725848509" name="ARM C Compiler" superClass="com.arm.tool.c.compiler.baremetal.exe.debug">
- <option id="com.arm.tool.c.compiler.option.incpath.349366493" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/></option>
- <option id="com.arm.tool.c.compiler.option.defmac.1627388367" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__DEBUG"/><listOptionValue builtIn="false" value="CPU_IMX7D_M4"/></option>
+ <option id="com.arm.tool.c.compiler.option.incpath.349366493" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/><listOptionValue builtIn="false" value="${ProjDirPath}/.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm"/></option>
+ <option id="com.arm.tool.c.compiler.option.defmac.1627388367" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__DEBUG"/><listOptionValue builtIn="false" value="CPU_MCIMX7D_M4"/></option>
<option id="com.arm.tool.c.compiler.option.targetcpu.1309268616" name="Target CPU (--cpu)" superClass="com.arm.tool.c.compiler.option.targetcpu" value="Cortex-M4" valueType="string"/>
<option id="com.arm.tool.c.compiler.option.targetfpu.1098489790" name="Target FPU (--fpu)" superClass="com.arm.tool.c.compiler.option.targetfpu" value="FPv4-SP" valueType="string"/>
<option id="com.arm.tool.c.compiler.option.fpmode.1539082142" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.c.compiler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
@@ -28,7 +28,7 @@
<option id="com.arm.tool.c.compiler.option.endian.2486374826" name="Byte order" superClass="com.arm.tool.c.compiler.option.endian" value="com.arm.tool.c.compiler.option.endian.auto" valueType="enumerated"/>
<inputType id="com.arm.tool.c.compiler.input.1814530651" superClass="com.arm.tool.c.compiler.input"/>
<inputType id="com.arm.tool.cpp.compiler.input.988841684" superClass="com.arm.tool.cpp.compiler.input"/>
- <option id="com.arm.tool.c.compiler.option.gnu.4378756387" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.charsize.850592467" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.option.optfor.291722566" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.1403104436" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.1989277072" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.option.suppress.4657864270" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.4256566319" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.2250480186" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.inter.3790519827" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.3875446790" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.903050128" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.8232590546" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.8244993851" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.2621921706" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.9735308619" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
+ <option id="com.arm.tool.c.compiler.option.gnu.3387373822" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.charsize.6140346981" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt.1966117878" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt" valueType="enumerated" value="com.arm.tool.c.compiler.option.optlevel.min"/><option id="com.arm.tool.c.compiler.option.optfor.1072923726" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.9503040737" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.5900266486" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.option.suppress.5412932836" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.5000309043" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.2988133238" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.inter.1778460455" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.9018845601" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.6872406316" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.690813074" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.2263568171" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.565650574" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.1339594775" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
<tool id="com.arm.tool.cpp.compiler.baremetal.exe.debug.1769458477" name="ARM C++ Compiler" superClass="com.arm.tool.cpp.compiler.baremetal.exe.debug">
<option id="com.arm.tool.c.compiler.option.flags.125692915" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
</tool>
@@ -37,12 +37,12 @@
<option id="com.arm.tool.assembler.option.fpu.560570852" name="Target FPU (--fpu)" superClass="com.arm.tool.assembler.option.fpu" value="FPv4-SP" valueType="string"/>
<option id="com.arm.tool.assembler.option.fpmode.2114797651" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.assembler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
<option id="com.arm.tool.assembler.option.fppcs.2043711002" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.assembler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
- <option id="com.arm.tool.assembler.option.flags.2068342579" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; " valueType="string"/>
+ <option id="com.arm.tool.assembler.option.flags.2068342579" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; -I&quot;${ProjDirPath}/..&quot; " valueType="string"/>
<option id="com.arm.tool.assembler.option.preproc.664063762" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="true" valueType="boolean"/>
- <option id="com.arm.tool.assembler.option.preprocflags.9307313573" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value="-D__DEBUG"/><option id="com.arm.tool.assembler.option.unalign.7673868400" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.75947356" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.826151897" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.1522775858" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.4939653272" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.7378483079" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
+ <option id="com.arm.tool.assembler.option.preprocflags.9508896672" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value="-D__DEBUG"/><option id="com.arm.tool.assembler.option.unalign.6874745976" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.2096995995" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.1732814067" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.9065673485" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.3728761743" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.3064706618" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
<tool command="armlink" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.c.linker.1088675316" name="ARM Linker" superClass="com.arm.tool.c.linker">
<option id="com.arm.tool.c.linker.option.cpu.267638742" name="Target CPU (--cpu)" superClass="com.arm.tool.c.linker.option.cpu" value="Cortex-M4" valueType="string"/>
- <option id="com.arm.tool.c.linker.option.scatter.1868789905" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/arm/MCIMX7D_M4_tcm.scf" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.scatter.1868789905" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../common/linker/arm/MCIMX7D_M4_tcm_rpmsg.scf" valueType="string"/>
<option id="com.arm.tool.c.linker.option.libs.1325797835" name="Libraries (--library)" superClass="com.arm.tool.c.linker.option.libs"/>
<option id="com.arm.tool.c.linker.option.libsearch.1542315655" name="Library search path (--userlibpath)" superClass="com.arm.tool.c.linker.option.libsearch"/>
<option id="com.arm.tool.c.linker.libs.491659161" name="Other library files" superClass="com.arm.tool.c.linker.libs"/>
@@ -54,7 +54,7 @@
<additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
<additionalInput kind="additionalinput" paths="$(LIBS)"/>
</inputType>
- <option id="com.arm.tool.c.linker.option.fpu.9598625404" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.1080960406" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.9125826450" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.2945513086" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.3831653194" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.2366045369" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.4540977055" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
+ <option id="com.arm.tool.c.linker.option.fpu.3454042758" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.5953063691" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.2340293280" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.7680051569" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.8924187169" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.6847321865" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.1859218969" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
<tool id="com.arm.tool.librarian.109887334" name="ARM Librarian" superClass="com.arm.tool.librarian"/>
</toolChain>
</folderInfo>
@@ -63,21 +63,21 @@
<storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
</cconfiguration>
<cconfiguration id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666">
- <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" moduleId="org.eclipse.cdt.core.settings" name="Int Ram Release">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" moduleId="org.eclipse.cdt.core.settings" name="release">
<externalSettings/>
<extensions>
<extension id="com.arm.eclipse.builder.armcc.error" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
- <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" name="Int Ram Release" parent="com.arm.eclipse.build.config.baremetal.exe.debug">
+ <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" name="release" parent="com.arm.eclipse.build.config.baremetal.exe.debug" postbuildStep="fromelf --bincombined --output=${ProjName}.bin ${ProjName}.axf">
<folderInfo id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666." name="/" resourcePath="">
<toolChain id="com.arm.toolchain.baremetal.exe.debug.1871020344" name="ARM Compiler" nonInternalBuilderId="com.arm.toolchain.baremetal.builder" superClass="com.arm.toolchain.baremetal.exe.debug">
<targetPlatform id="com.arm.toolchain.baremetal.exe.debug.1871020344.229212655" name=""/>
- <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/Int Ram Release}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.1172218807" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
+ <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/release}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.1172218807" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
<tool id="com.arm.tool.c.compiler.baremetal.exe.debug.724686906" name="ARM C Compiler" superClass="com.arm.tool.c.compiler.baremetal.exe.debug">
- <option id="com.arm.tool.c.compiler.option.incpath.2126170575" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/></option>
- <option id="com.arm.tool.c.compiler.option.defmac.741292309" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__NDEBUG"/><listOptionValue builtIn="false" value="CPU_IMX7D_M4"/></option>
+ <option id="com.arm.tool.c.compiler.option.incpath.2126170575" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/><listOptionValue builtIn="false" value="${ProjDirPath}/.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm"/></option>
+ <option id="com.arm.tool.c.compiler.option.defmac.741292309" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__NDEBUG"/><listOptionValue builtIn="false" value="CPU_MCIMX7D_M4"/></option>
<option id="com.arm.tool.c.compiler.option.targetcpu.499083253" name="Target CPU (--cpu)" superClass="com.arm.tool.c.compiler.option.targetcpu" value="Cortex-M4" valueType="string"/>
<option id="com.arm.tool.c.compiler.option.targetfpu.747092604" name="Target FPU (--fpu)" superClass="com.arm.tool.c.compiler.option.targetfpu" value="FPv4-SP" valueType="string"/>
<option id="com.arm.tool.c.compiler.option.fpmode.885590841" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.c.compiler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
@@ -86,7 +86,7 @@
<option id="com.arm.tool.c.compiler.option.flags.1769123778" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
<inputType id="com.arm.tool.c.compiler.input.1270990193" superClass="com.arm.tool.c.compiler.input"/>
<inputType id="com.arm.tool.cpp.compiler.input.840085126" superClass="com.arm.tool.cpp.compiler.input"/>
- <option id="com.arm.tool.c.compiler.option.gnu.6061190653" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.charsize.4501584299" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.option.optfor.4608387768" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.747040301" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.6313633737" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.options.debug.enabled.6453698677" superClass="com.arm.tool.c.compiler.options.debug.enabled" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.suppress.7875842205" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.7088609325" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.4585624933" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.endian.9564532634" superClass="com.arm.tool.c.compiler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.auto"/><option id="com.arm.tool.c.compiler.option.inter.9278438051" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.1772925032" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.4678744002" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.8152796268" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.7781389372" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.5502918980" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.1189680092" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
+ <option id="com.arm.tool.c.compiler.option.gnu.5888273631" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.charsize.4237876764" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt.6027081687" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt" valueType="enumerated" value="com.arm.tool.c.compiler.option.optlevel.max"/><option id="com.arm.tool.c.compiler.option.optfor.8343623107" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.592580490" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.9101357904" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.options.debug.enabled.4316701152" superClass="com.arm.tool.c.compiler.options.debug.enabled" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.suppress.211977721" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.2684304104" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.6608806982" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.endian.1685288055" superClass="com.arm.tool.c.compiler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.auto"/><option id="com.arm.tool.c.compiler.option.inter.574152137" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.1076559285" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.1148068315" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.9231217398" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.9158144641" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.4918683491" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.8131711674" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
<tool id="com.arm.tool.cpp.compiler.baremetal.exe.debug.1278927352" name="ARM C++ Compiler" superClass="com.arm.tool.cpp.compiler.baremetal.exe.debug">
<option id="com.arm.tool.c.compiler.option.flags.191883218" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
</tool>
@@ -95,12 +95,12 @@
<option id="com.arm.tool.assembler.option.fpu.1669042968" name="Target FPU (--fpu)" superClass="com.arm.tool.assembler.option.fpu" value="FPv4-SP" valueType="string"/>
<option id="com.arm.tool.assembler.option.fpmode.150044025" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.assembler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
<option id="com.arm.tool.assembler.option.fppcs.1033303317" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.assembler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
- <option id="com.arm.tool.assembler.option.flags.1985199179" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; " valueType="string"/>
+ <option id="com.arm.tool.assembler.option.flags.1985199179" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; -I&quot;${ProjDirPath}/..&quot; " valueType="string"/>
<option id="com.arm.tool.assembler.option.preproc.664063763" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="false" valueType="boolean"/>
- <option id="com.arm.tool.assembler.option.preprocflags.3975045640" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value=""/><option id="com.arm.tool.assembler.option.unalign.1741147972" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.2616206408" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.9880393112" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.7211860550" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.7943210262" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.410734869" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
+ <option id="com.arm.tool.assembler.option.preprocflags.3847758874" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value=""/><option id="com.arm.tool.assembler.option.unalign.1463479722" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.4711013670" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.7785996602" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.6435085603" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.7435591908" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.4845221760" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
<tool id="com.arm.tool.c.linker.1301355868" name="ARM Linker" superClass="com.arm.tool.c.linker">
<option id="com.arm.tool.c.linker.option.cpu.522518248" name="Target CPU (--cpu)" superClass="com.arm.tool.c.linker.option.cpu" value="Cortex-M4" valueType="string"/>
- <option id="com.arm.tool.c.linker.option.scatter.208008498" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/arm/MCIMX7D_M4_tcm.scf" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.scatter.208008498" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../common/linker/arm/MCIMX7D_M4_tcm_rpmsg.scf" valueType="string"/>
<option id="com.arm.tool.c.linker.option.libs.1119897339" name="Libraries (--library)" superClass="com.arm.tool.c.linker.option.libs"/>
<option id="com.arm.tool.c.linker.option.libsearch.226638094" name="Library search path (--userlibpath)" superClass="com.arm.tool.c.linker.option.libsearch"/>
<option id="com.arm.tool.c.linker.libs.962860959" name="Other library files" superClass="com.arm.tool.c.linker.libs"/>
@@ -112,7 +112,7 @@
<additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
<additionalInput kind="additionalinput" paths="$(LIBS)"/>
</inputType>
- <option id="com.arm.tool.c.linker.option.fpu.4195529269" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.9993784301" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.3292286689" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.5084426617" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.85495981" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.8837613250" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.9917279473" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
+ <option id="com.arm.tool.c.linker.option.fpu.2663030197" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.9010531553" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.4571725666" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.506046592" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.5877036247" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.2146423884" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.1072545343" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
<tool id="com.arm.tool.librarian.2006874949" name="ARM Librarian" superClass="com.arm.tool.librarian"/>
</toolChain>
</folderInfo>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/ds5/.project b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/ds5/.project
new file mode 100644
index 0000000..a15f6c3
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/ds5/.project
@@ -0,0 +1,86 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<projectDescription>
+ <name>rpmsg_pingpong_bm_example_imx7d_sdb_m4</name>
+ <comment/>
+ <projects>
+ </projects>
+ <buildSpec>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.genmakebuilder</name>
+ <triggers>clean,full,incremental,</triggers>
+ <arguments>
+ <dictionary>
+ <key>?name?</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.append_environment</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.autoBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildArguments</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildCommand</key>
+ <value>make</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildLocation</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.cleanBuildTarget</key>
+ <value>clean</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.contents</key>
+ <value>org.eclipse.cdt.make.core.activeConfigSettings</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableAutoBuild</key>
+ <value>false</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableCleanBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableFullBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.fullBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.stopOnError</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.useDefaultBuildCmd</key>
+ <value>true</value>
+ </dictionary>
+ </arguments>
+ </buildCommand>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder</name>
+ <triggers>full,incremental,</triggers>
+ <arguments>
+ </arguments>
+ </buildCommand>
+ </buildSpec>
+ <natures>
+ <nature>org.eclipse.cdt.core.cnature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.managedBuildNature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.ScannerConfigNature</nature>
+ </natures>
+ <linkedResources>
+ <link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/startup_MCIMX7D_M4.s</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/arm/startup_MCIMX7D_M4.s</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_platform_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/rpmsg_platform_porting.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_ext.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_ext.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/env.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/env.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio_ring.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio_ring.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_rtos.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_rtos.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/bm/rpmsg_porting.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_analog_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/lmem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/lmem.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc_defs_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc_defs_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/wdog_imx.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform_info.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/remote_device.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/remote_device.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_ext.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_ext.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_porting.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/bm/rpmsg_porting.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_analog_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/lmem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/lmem.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/rdc.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/wdog_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/debug_console_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/inc/debug_console_imx.h</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/hardware_init.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/hardware_init.c</locationURI></link><link><name>source</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>source/pingpong_bm.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/pingpong_bm.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/uart_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/uart_imx.h</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/mu_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/mu_imx.h</locationURI></link></linkedResources>
+ <variableList>
+ </variableList>
+</projectDescription>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/hardware_init.c b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/hardware_init.c
index aa69475..aa69475 100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/hardware_init.c
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/hardware_init.c
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/pingpong.c b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/pingpong_bm.c
index 4b8b82e..2051bc2 100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/pingpong.c
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_bm/pingpong_bm.c
@@ -28,64 +28,72 @@
* SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "FreeRTOS.h"
-#include "task.h"
-#include "semphr.h"
-#include "board.h"
+///////////////////////////////////////////////////////////////////////////////
+// Includes
+///////////////////////////////////////////////////////////////////////////////
+#include <string.h>
#include "rpmsg/rpmsg.h"
-#include "debug_console_imx.h"
+#include "board.h"
#include "mu_imx.h"
-#include "plat_porting.h"
+#include "debug_console_imx.h"
+////////////////////////////////////////////////////////////////////////////////
+// Definitions
+////////////////////////////////////////////////////////////////////////////////
/*
* APP decided interrupt priority
*/
#define APP_MU_IRQ_PRIORITY 3
-/* Internal functions */
-static void rpmsg_channel_created(struct rpmsg_channel *rp_chnl);
-static void rpmsg_channel_deleted(struct rpmsg_channel *rp_chnl);
-static void rpmsg_read_cb(struct rpmsg_channel *, void *, int, void *, unsigned long);
+typedef struct the_message
+{
+ uint32_t DATA;
+} THE_MESSAGE, * THE_MESSAGE_PTR;
+////////////////////////////////////////////////////////////////////////////////
+// Code
+////////////////////////////////////////////////////////////////////////////////
/* Globals */
-static struct remote_device *rdev;
-static struct rpmsg_channel *app_chnl;
-static uint32_t msg_var;
-static SemaphoreHandle_t app_sema;
+static volatile struct rpmsg_channel *app_chnl;
+static volatile int pingpong_finished = 0;
+static THE_MESSAGE msg;
-/*!
- * @brief A basic RPMSG task
- */
-void PingPongTask(void *pvParameters)
+static void rpmsg_read_cb(struct rpmsg_channel *rp_chnl, void *data, int len,
+ void * priv, unsigned long src)
{
- PRINTF("RPMSG PingPong Demo...\r\n");
+ if(len > sizeof(THE_MESSAGE))
+ {
+ PRINTF("Received size %d exceed pingpong buffer size\r\n", len);
+ return;
+ }
- app_sema = xSemaphoreCreateCounting(2, 0);
+ /* Drop extra message received after pingpong finished */
+ if (pingpong_finished)
+ return;
- PRINTF("RPMSG Init as Remote\r\n");
- /*
- * RPMSG Init as REMOTE
- */
- rpmsg_init(0, &rdev, rpmsg_channel_created, rpmsg_channel_deleted, rpmsg_read_cb, RPMSG_MASTER);
+ /* Store received message to global buffer */
+ memcpy(&msg, data, len);
- /*
- * rpmsg_channel_created will post the first semaphore
- */
- xSemaphoreTake(app_sema, portMAX_DELAY);
- PRINTF("Name service handshake is done, M4 has setup a rpmsg channel [%d ---> %d]\r\n", app_chnl->src, app_chnl->dst);
+ PRINTF("Get Data From Master Side : %d\r\n", msg.DATA);
+ /* Send the message back to the remoteproc */
+ msg.DATA++;
+ rpmsg_send((struct rpmsg_channel *)app_chnl, &msg, sizeof(THE_MESSAGE));
- /*
- * pingpong demo loop
- */
- for (;;) {
- xSemaphoreTake(app_sema, portMAX_DELAY);
- PRINTF("Get Data From A7 : %d\r\n", msg_var);
- msg_var++;
- rpmsg_send(app_chnl, (void*)&msg_var, sizeof(uint32_t));
- }
+ /* Set pingpong_finished to 1 per requirement */
+}
+
+/* rpmsg_rx_callback will call into this for a channel creation event*/
+static void rpmsg_channel_created(struct rpmsg_channel *rp_chnl)
+{
+ /* We should give the created rp_chnl handler to app layer */
+ app_chnl = rp_chnl;
+ PRINTF("Name service handshake is done, M4 has setup a rpmsg channel [%d ---> %d]\r\n", app_chnl->src, app_chnl->dst);
}
+static void rpmsg_channel_deleted(struct rpmsg_channel *rp_chnl)
+{
+}
/*
* MU Interrrupt ISR
@@ -98,9 +106,13 @@ void BOARD_MU_HANDLER(void)
rpmsg_handler();
}
-
-int main(void)
+/*!
+ * @brief Main function
+ */
+int main (void)
{
+ struct remote_device *rdev;
+
hardware_init();
/*
@@ -111,45 +123,26 @@ int main(void)
NVIC_SetPriority(BOARD_MU_IRQ_NUM, APP_MU_IRQ_PRIORITY);
NVIC_EnableIRQ(BOARD_MU_IRQ_NUM);
- // Create a demo task which will print Hello world and echo user's input.
- xTaskCreate(PingPongTask, "Ping Pong Task", configMINIMAL_STACK_SIZE,
- NULL, tskIDLE_PRIORITY+1, NULL);
+ /* Print the initial banner */
+ PRINTF("\r\nRPMSG PingPong Bare Metal Demo...\r\n");
- // Start FreeRTOS scheduler.
- vTaskStartScheduler();
+ /* RPMSG Init as REMOTE */
+ PRINTF("RPMSG Init as Remote\r\n");
+ rpmsg_init(0 /*REMOTE_CPU_ID*/, &rdev, rpmsg_channel_created, rpmsg_channel_deleted, rpmsg_read_cb, RPMSG_MASTER);
- // Should never reach this point.
- while (true);
-}
+ /* wait until the pingpong demo finished */
+ while (!pingpong_finished)
+ {
+ }
-/* rpmsg_rx_callback will call into this for a channel creation event*/
-static void rpmsg_channel_created(struct rpmsg_channel *rp_chnl)
-{
- /*
- * we should give the created rp_chnl handler to app layer
- */
- app_chnl = rp_chnl;
+ PRINTF("\r\nMessage pingpong finished\r\n");
- /*
- * sync to application layer
- */
- xSemaphoreGiveFromISR(app_sema, NULL);
-}
+ rpmsg_deinit(rdev);
-static void rpmsg_channel_deleted(struct rpmsg_channel *rp_chnl)
-{
- rpmsg_destroy_ept(rp_chnl->rp_ept);
+ return 0;
}
-static void rpmsg_read_cb(struct rpmsg_channel *rp_chnl, void *data, int len,
- void * priv, unsigned long src)
-{
- msg_var = *(uint32_t*)data;
- /*
- * sync to application layer
- */
- xSemaphoreGiveFromISR(app_sema, NULL);
-}
/*******************************************************************************
* EOF
******************************************************************************/
+
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/FreeRTOSConfig.h b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/FreeRTOSConfig.h
new file mode 100644
index 0000000..c57902e
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/FreeRTOSConfig.h
@@ -0,0 +1,163 @@
+/*
+ FreeRTOS V8.0.0 - Copyright (C) 2014 Real Time Engineers Ltd.
+ All rights reserved
+
+ VISIT http://www.FreeRTOS.org TO ENSURE YOU ARE USING THE LATEST VERSION.
+
+ ***************************************************************************
+ * *
+ * FreeRTOS provides completely free yet professionally developed, *
+ * robust, strictly quality controlled, supported, and cross *
+ * platform software that has become a de facto standard. *
+ * *
+ * Help yourself get started quickly and support the FreeRTOS *
+ * project by purchasing a FreeRTOS tutorial book, reference *
+ * manual, or both from: http://www.FreeRTOS.org/Documentation *
+ * *
+ * Thank you! *
+ * *
+ ***************************************************************************
+
+ This file is part of the FreeRTOS distribution.
+
+ FreeRTOS is free software; you can redistribute it and/or modify it under
+ the terms of the GNU General Public License (version 2) as published by the
+ Free Software Foundation >>!AND MODIFIED BY!<< the FreeRTOS exception.
+
+ >>! NOTE: The modification to the GPL is included to allow you to distribute
+ >>! a combined work that includes FreeRTOS without being obliged to provide
+ >>! the source code for proprietary components outside of the FreeRTOS
+ >>! kernel.
+
+ FreeRTOS is distributed in the hope that it will be useful, but WITHOUT ANY
+ WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
+ FOR A PARTICULAR PURPOSE. Full license text is available from the following
+ link: http://www.freertos.org/a00114.html
+
+ 1 tab == 4 spaces!
+
+ ***************************************************************************
+ * *
+ * Having a problem? Start by reading the FAQ "My application does *
+ * not run, what could be wrong?" *
+ * *
+ * http://www.FreeRTOS.org/FAQHelp.html *
+ * *
+ ***************************************************************************
+
+ http://www.FreeRTOS.org - Documentation, books, training, latest versions,
+ license and Real Time Engineers Ltd. contact details.
+
+ http://www.FreeRTOS.org/plus - A selection of FreeRTOS ecosystem products,
+ including FreeRTOS+Trace - an indispensable productivity tool, a DOS
+ compatible FAT file system, and our tiny thread aware UDP/IP stack.
+
+ http://www.OpenRTOS.com - Real Time Engineers ltd license FreeRTOS to High
+ Integrity Systems to sell under the OpenRTOS brand. Low cost OpenRTOS
+ licenses offer ticketed support, indemnification and middleware.
+
+ http://www.SafeRTOS.com - High Integrity Systems also provide a safety
+ engineered and independently SIL3 certified version for use in safety and
+ mission critical applications that require provable dependability.
+
+ 1 tab == 4 spaces!
+*/
+
+
+#ifndef FREERTOS_CONFIG_H
+#define FREERTOS_CONFIG_H
+
+/*-----------------------------------------------------------
+ * Application specific definitions.
+ *
+ * These definitions should be adjusted for your particular hardware and
+ * application requirements.
+ *
+ * THESE PARAMETERS ARE DESCRIBED WITHIN THE 'CONFIGURATION' SECTION OF THE
+ * FreeRTOS API DOCUMENTATION AVAILABLE ON THE FreeRTOS.org WEB SITE.
+ *
+ * See http://www.freertos.org/a00110.html.
+ *----------------------------------------------------------*/
+
+/* Ensure stdint is only used by the compiler, and not the assembler. */
+#ifdef __ICCARM__
+ #include <stdint.h>
+#endif
+
+#define configUSE_PREEMPTION 1
+#define configUSE_IDLE_HOOK 0
+#define configUSE_TICK_HOOK 0
+#define configCPU_CLOCK_HZ (240000000ul)
+#define configTICK_RATE_HZ ((TickType_t)1000)
+#define configMAX_PRIORITIES (5)
+#define configMINIMAL_STACK_SIZE ((unsigned short)130)
+#define configTOTAL_HEAP_SIZE ((size_t)(20 * 1024))
+#define configMAX_TASK_NAME_LEN (10)
+#define configUSE_TRACE_FACILITY 0
+#define configUSE_16_BIT_TICKS 0
+#define configIDLE_SHOULD_YIELD 0
+#define configUSE_MUTEXES 0
+#define configQUEUE_REGISTRY_SIZE 8
+#define configCHECK_FOR_STACK_OVERFLOW 0
+#define configUSE_RECURSIVE_MUTEXES 0
+#define configUSE_MALLOC_FAILED_HOOK 0
+#define configUSE_APPLICATION_TASK_TAG 0
+#define configUSE_COUNTING_SEMAPHORES 1
+#define configGENERATE_RUN_TIME_STATS 0
+
+/* Co-routine definitions. */
+#define configUSE_CO_ROUTINES 0
+#define configMAX_CO_ROUTINE_PRIORITIES (2)
+
+/* Software timer definitions. */
+#define configUSE_TIMERS 0
+#define configTIMER_TASK_PRIORITY (2)
+#define configTIMER_QUEUE_LENGTH 10
+#define configTIMER_TASK_STACK_DEPTH (configMINIMAL_STACK_SIZE * 2)
+
+/* Set the following definitions to 1 to include the API function, or zero
+to exclude the API function. */
+#define INCLUDE_vTaskPrioritySet 0
+#define INCLUDE_uxTaskPriorityGet 0
+#define INCLUDE_vTaskDelete 0
+#define INCLUDE_vTaskCleanUpResources 0
+#define INCLUDE_vTaskSuspend 1
+#define INCLUDE_vTaskDelayUntil 0
+#define INCLUDE_vTaskDelay 1
+
+/* Cortex-M specific definitions. */
+#ifdef __NVIC_PRIO_BITS
+ /* __BVIC_PRIO_BITS will be specified when CMSIS is being used. */
+ #define configPRIO_BITS __NVIC_PRIO_BITS
+#else
+ #define configPRIO_BITS 4 /* 15 priority levels */
+#endif
+
+/* The lowest interrupt priority that can be used in a call to a "set priority"
+function. */
+#define configLIBRARY_LOWEST_INTERRUPT_PRIORITY 0xf
+
+/* The highest interrupt priority that can be used by any interrupt service
+routine that makes calls to interrupt safe FreeRTOS API functions. DO NOT CALL
+INTERRUPT SAFE FREERTOS API FUNCTIONS FROM ANY INTERRUPT THAT HAS A HIGHER
+PRIORITY THAN THIS! (higher priorities are lower numeric values. */
+#define configLIBRARY_MAX_SYSCALL_INTERRUPT_PRIORITY 1
+
+/* Interrupt priorities used by the kernel port layer itself. These are generic
+to all Cortex-M ports, and do not rely on any particular library functions. */
+#define configKERNEL_INTERRUPT_PRIORITY (configLIBRARY_LOWEST_INTERRUPT_PRIORITY << (8 - configPRIO_BITS))
+/* !!!! configMAX_SYSCALL_INTERRUPT_PRIORITY must not be set to zero !!!!
+See http://www.FreeRTOS.org/RTOS-Cortex-M3-M4.html. */
+#define configMAX_SYSCALL_INTERRUPT_PRIORITY (configLIBRARY_MAX_SYSCALL_INTERRUPT_PRIORITY << (8 - configPRIO_BITS))
+
+/* Normal assert() semantics without relying on the provision of an assert.h
+header file. */
+#define configASSERT(x) if((x) == 0) {taskDISABLE_INTERRUPTS(); for(;;);}
+
+/* Definitions that map the FreeRTOS port interrupt handlers to their CMSIS
+standard names. */
+#define vPortSVCHandler SVC_Handler
+#define xPortPendSVHandler PendSV_Handler
+#define xPortSysTickHandler SysTick_Handler
+
+#endif /* FREERTOS_CONFIG_H */
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/CMakeLists.txt b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/CMakeLists.txt
index e057d9f..1b91236 100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong/armgcc/CMakeLists.txt
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/CMakeLists.txt
@@ -28,72 +28,82 @@ set(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -T${ProjDirPat
set(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -T${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/gcc/MCIMX7D_M4_tcm.ld -static")
# DEBUG ASM FLAGS
-SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mapcs -std=gnu99")
+SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
# DEBUG C FLAGS
-SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mapcs -std=gnu99")
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -g -O0 -fno-strict-aliasing -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
# DEBUG LD FLAGS
-SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs -Xlinker --defsym=__stack_size__=0x400 -Xlinker --defsym=__heap_size__=0x200")
+SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs")
# RELEASE ASM FLAGS
-SET(CMAKE_ASM_FLAGS_RELEASE "${CMAKE_ASM_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mapcs -std=gnu99")
+SET(CMAKE_ASM_FLAGS_RELEASE "${CMAKE_ASM_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
# RELEASE C FLAGS
-SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mapcs -std=gnu99")
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -Os -fno-strict-aliasing -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
# RELEASE LD FLAGS
-SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs -Xlinker --defsym=__stack_size__=0x400 -Xlinker --defsym=__heap_size__=0x200")
+SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs")
# ASM MACRO
SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -D__DEBUG")
# C MACRO
SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -D__DEBUG")
-SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -DCPU_IMX7D_M4")
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -DCPU_MCIMX7D_M4")
SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -D__NDEBUG")
-SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -DCPU_IMX7D_M4")
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -DCPU_MCIMX7D_M4")
# CXX MACRO
# INCLUDE_DIRECTORIES
IF(CMAKE_BUILD_TYPE MATCHES Debug)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/GCC/ARM_CM4F)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/CMSIS/Include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/drivers/inc)
- INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/utilities/inc)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos)
ELSEIF(CMAKE_BUILD_TYPE MATCHES Release)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/GCC/ARM_CM4F)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/CMSIS/Include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/drivers/inc)
- INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/utilities/inc)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos)
ENDIF()
# ADD_EXECUTABLE
-ADD_EXECUTABLE(rpmsg_pingpong_example
+ADD_EXECUTABLE(rpmsg_pingpong_freertos_example
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/GCC/ARM_CM4F/port.c"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/GCC/ARM_CM4F/portmacro.h"
"${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup/gcc/startup_MCIMX7D_M4.S"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/MemMang/heap_2.c"
+ "${ProjDirPath}/../FreeRTOSConfig.h"
+ "${ProjDirPath}/../pingpong_freertos.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_rtos.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.h"
- "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/plat_porting.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/rpmsg_platform_porting.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_ext.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/hil/hil.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/llist/llist.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.h"
@@ -103,6 +113,7 @@ ADD_EXECUTABLE(rpmsg_pingpong_example
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio_ring.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos/rpmsg_porting.h"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include/croutine.h"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include/event_groups.h"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include/FreeRTOS.h"
@@ -117,21 +128,24 @@ ADD_EXECUTABLE(rpmsg_pingpong_example
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include/timers.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/ccm_analog_imx7d.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/ccm_imx7d.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/lmem.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/rdc.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/rdc_defs_imx7d.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/wdog_imx.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_rtos.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/hil/hil.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/llist/llist.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/config/config.c"
- "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos_env.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/remote_device.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_ext.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos/rpmsg_porting.c"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/croutine.c"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/event_groups.c"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/list.c"
@@ -140,6 +154,7 @@ ADD_EXECUTABLE(rpmsg_pingpong_example
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/timers.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/ccm_analog_imx7d.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/ccm_imx7d.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/lmem.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/rdc.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/wdog_imx.c"
"${ProjDirPath}/../../../../../../platform/utilities/src/debug_console_imx.c"
@@ -155,31 +170,30 @@ ADD_EXECUTABLE(rpmsg_pingpong_example
"${ProjDirPath}/../../../../clock_freq.c"
"${ProjDirPath}/../../../../clock_freq.h"
"${ProjDirPath}/../hardware_init.c"
- "${ProjDirPath}/../pingpong.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/uart_imx.c"
"${ProjDirPath}/../../../../../../platform/drivers/inc/uart_imx.h"
"${ProjDirPath}/../../../../../../platform/drivers/src/mu_imx.c"
"${ProjDirPath}/../../../../../../platform/drivers/inc/mu_imx.h"
)
-SET_TARGET_PROPERTIES(rpmsg_pingpong_example PROPERTIES OUTPUT_NAME "rpmsg_pingpong_example.elf")
+SET_TARGET_PROPERTIES(rpmsg_pingpong_freertos_example PROPERTIES OUTPUT_NAME "rpmsg_pingpong_freertos_example.elf")
-TARGET_LINK_LIBRARIES(rpmsg_pingpong_example -Wl,--start-group)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_freertos_example -Wl,--start-group)
# LIBRARIES
IF(CMAKE_BUILD_TYPE MATCHES Debug)
ELSEIF(CMAKE_BUILD_TYPE MATCHES Release)
ENDIF()
# SYSTEM LIBRARIES
-TARGET_LINK_LIBRARIES(rpmsg_pingpong_example m)
-TARGET_LINK_LIBRARIES(rpmsg_pingpong_example c)
-TARGET_LINK_LIBRARIES(rpmsg_pingpong_example gcc)
-TARGET_LINK_LIBRARIES(rpmsg_pingpong_example nosys)
-TARGET_LINK_LIBRARIES(rpmsg_pingpong_example -Wl,--end-group)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_freertos_example m)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_freertos_example c)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_freertos_example gcc)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_freertos_example nosys)
+TARGET_LINK_LIBRARIES(rpmsg_pingpong_freertos_example -Wl,--end-group)
# MAP FILE
-SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker -Map=debug/rpmsg_pingpong_example.map")
-SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -Xlinker -Map=release/rpmsg_pingpong_example.map")
+SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker -Map=debug/rpmsg_pingpong_freertos_example.map")
+SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -Xlinker -Map=release/rpmsg_pingpong_freertos_example.map")
# BIN AND HEX
-ADD_CUSTOM_COMMAND(TARGET rpmsg_pingpong_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Oihex ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_example.hex)
-ADD_CUSTOM_COMMAND(TARGET rpmsg_pingpong_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Obinary ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_example.bin)
+ADD_CUSTOM_COMMAND(TARGET rpmsg_pingpong_freertos_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Oihex ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_freertos_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_freertos_example.hex)
+ADD_CUSTOM_COMMAND(TARGET rpmsg_pingpong_freertos_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Obinary ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_freertos_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_pingpong_freertos_example.bin)
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_all.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_all.bat
index 0cf721f..0cf721f 100755..100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_all.bat
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_all.bat
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_all.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_all.sh
index 3827529..3827529 100755
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_all.sh
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_all.sh
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_debug.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_debug.bat
index e9ccfdd..e9ccfdd 100755..100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_debug.bat
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_debug.bat
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_debug.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_debug.sh
index effd076..effd076 100755
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_debug.sh
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_debug.sh
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_release.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_release.bat
index 0759349..0759349 100755..100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_release.bat
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_release.bat
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_release.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_release.sh
index a12067d..a12067d 100755
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/build_release.sh
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/build_release.sh
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/clean.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/clean.bat
index ffea088..ffea088 100755..100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/clean.bat
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/clean.bat
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/clean.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/clean.sh
index 795ad87..795ad87 100755
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/clean.sh
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/armgcc/clean.sh
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/ds5/.cproject b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/ds5/.cproject
new file mode 100644
index 0000000..cdcd118
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/ds5/.cproject
@@ -0,0 +1,137 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<?fileVersion 4.0.0?>
+<cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
+ <storageModule moduleId="org.eclipse.cdt.core.settings">
+ <cconfiguration id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" moduleId="org.eclipse.cdt.core.settings" name="debug">
+ <externalSettings/>
+ <extensions>
+ <extension id="com.arm.eclipse.builder.armcc.error" point="org.eclipse.cdt.core.ErrorParser"/>
+ </extensions>
+ </storageModule>
+ <storageModule moduleId="cdtBuildSystem" version="4.0.0">
+ <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" name="debug" parent="com.arm.eclipse.build.config.baremetal.exe.debug" postbuildStep="fromelf --bincombined --output=${ProjName}.bin ${ProjName}.axf">
+ <folderInfo id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623." name="/" resourcePath="">
+ <toolChain errorParsers="com.arm.eclipse.builder.armcc.error" id="com.arm.toolchain.baremetal.exe.debug.505048968" name="ARM Compiler" nonInternalBuilderId="com.arm.toolchain.baremetal.builder" superClass="com.arm.toolchain.baremetal.exe.debug">
+ <targetPlatform binaryParser="" id="com.arm.toolchain.baremetal.exe.debug.505048968.350348883" name=""/>
+ <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/debug}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.38020294" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
+ <tool command="armcc" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.c.compiler.baremetal.exe.debug.1725848509" name="ARM C Compiler" superClass="com.arm.tool.c.compiler.baremetal.exe.debug">
+ <option id="com.arm.tool.c.compiler.option.incpath.349366493" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/><listOptionValue builtIn="false" value="${ProjDirPath}/.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos"/></option>
+ <option id="com.arm.tool.c.compiler.option.defmac.1627388367" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__DEBUG"/><listOptionValue builtIn="false" value="CPU_MCIMX7D_M4"/></option>
+ <option id="com.arm.tool.c.compiler.option.targetcpu.1309268616" name="Target CPU (--cpu)" superClass="com.arm.tool.c.compiler.option.targetcpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.c.compiler.option.targetfpu.1098489790" name="Target FPU (--fpu)" superClass="com.arm.tool.c.compiler.option.targetfpu" value="FPv4-SP" valueType="string"/>
+ <option id="com.arm.tool.c.compiler.option.fpmode.1539082142" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.c.compiler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.option.fppcs.928968199" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.c.compiler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.option.flags.49715708" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
+ <option id="com.arm.tool.c.compiler.options.debug.enabled.652416105" name="Enable debug (-g)" superClass="com.arm.tool.c.compiler.options.debug.enabled" value="true" valueType="boolean"/>
+ <option id="com.arm.tool.assembler.option.preproc.664063762" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="false" valueType="boolean"/>
+ <option id="com.arm.tool.c.compiler.option.endian.2486374826" name="Byte order" superClass="com.arm.tool.c.compiler.option.endian" value="com.arm.tool.c.compiler.option.endian.auto" valueType="enumerated"/>
+ <inputType id="com.arm.tool.c.compiler.input.1814530651" superClass="com.arm.tool.c.compiler.input"/>
+ <inputType id="com.arm.tool.cpp.compiler.input.988841684" superClass="com.arm.tool.cpp.compiler.input"/>
+ <option id="com.arm.tool.c.compiler.option.gnu.6777027707" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.charsize.4769757604" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt.5622956858" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt" valueType="enumerated" value="com.arm.tool.c.compiler.option.optlevel.min"/><option id="com.arm.tool.c.compiler.option.optfor.2926573901" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.2535198008" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.5794394856" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.option.suppress.7296006911" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.475833180" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.8731900537" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.inter.9465778309" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.6461481393" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.7807745999" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.697658945" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.9641719419" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.869693151" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.1210378391" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
+ <tool id="com.arm.tool.cpp.compiler.baremetal.exe.debug.1769458477" name="ARM C++ Compiler" superClass="com.arm.tool.cpp.compiler.baremetal.exe.debug">
+ <option id="com.arm.tool.c.compiler.option.flags.125692915" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
+ </tool>
+ <tool command="armasm" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.assembler.1155958963" name="ARM Assembler" superClass="com.arm.tool.assembler">
+ <option id="com.arm.tool.assembler.option.cpu.423475341" name="Target CPU (--cpu)" superClass="com.arm.tool.assembler.option.cpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.assembler.option.fpu.560570852" name="Target FPU (--fpu)" superClass="com.arm.tool.assembler.option.fpu" value="FPv4-SP" valueType="string"/>
+ <option id="com.arm.tool.assembler.option.fpmode.2114797651" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.assembler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
+ <option id="com.arm.tool.assembler.option.fppcs.2043711002" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.assembler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
+ <option id="com.arm.tool.assembler.option.flags.2068342579" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; -I&quot;${ProjDirPath}/..&quot; " valueType="string"/>
+ <option id="com.arm.tool.assembler.option.preproc.664063762" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="true" valueType="boolean"/>
+ <option id="com.arm.tool.assembler.option.preprocflags.6016546542" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value="-D__DEBUG"/><option id="com.arm.tool.assembler.option.unalign.8132564833" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.7153560027" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.4604989265" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.9258856573" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.3653546979" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.6099331991" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
+ <tool command="armlink" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.c.linker.1088675316" name="ARM Linker" superClass="com.arm.tool.c.linker">
+ <option id="com.arm.tool.c.linker.option.cpu.267638742" name="Target CPU (--cpu)" superClass="com.arm.tool.c.linker.option.cpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.scatter.1868789905" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/arm/MCIMX7D_M4_tcm.scf" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.libs.1325797835" name="Libraries (--library)" superClass="com.arm.tool.c.linker.option.libs"/>
+ <option id="com.arm.tool.c.linker.option.libsearch.1542315655" name="Library search path (--userlibpath)" superClass="com.arm.tool.c.linker.option.libsearch"/>
+ <option id="com.arm.tool.c.linker.libs.491659161" name="Other library files" superClass="com.arm.tool.c.linker.libs"/>
+ <option id="com.arm.tool.c.linker.option.entry.1665317816" name="Image entry point (--entry)" superClass="com.arm.tool.c.linker.option.entry" value="Reset_Handler" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.imagemap.909474066" name="Generate image map (--map)" superClass="com.arm.tool.c.linker.option.imagemap" value="false" valueType="boolean"/>
+ <option id="com.arm.tool.c.linker.option.syslibs.948170747" name="Standard library search path (--libpath)" superClass="com.arm.tool.c.linker.option.syslibs"/>
+
+ <inputType id="com.arm.tool.c.linker.input.334720080" superClass="com.arm.tool.c.linker.input">
+ <additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
+ <additionalInput kind="additionalinput" paths="$(LIBS)"/>
+ </inputType>
+ <option id="com.arm.tool.c.linker.option.fpu.3518881350" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.5824107095" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.3785288756" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.7496724523" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.5319774463" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.4283700426" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.7707151993" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
+ <tool id="com.arm.tool.librarian.109887334" name="ARM Librarian" superClass="com.arm.tool.librarian"/>
+ </toolChain>
+ </folderInfo>
+ </configuration>
+ </storageModule>
+ <storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
+ </cconfiguration>
+ <cconfiguration id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" moduleId="org.eclipse.cdt.core.settings" name="release">
+ <externalSettings/>
+ <extensions>
+ <extension id="com.arm.eclipse.builder.armcc.error" point="org.eclipse.cdt.core.ErrorParser"/>
+ </extensions>
+ </storageModule>
+ <storageModule moduleId="cdtBuildSystem" version="4.0.0">
+ <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" name="release" parent="com.arm.eclipse.build.config.baremetal.exe.debug" postbuildStep="fromelf --bincombined --output=${ProjName}.bin ${ProjName}.axf">
+ <folderInfo id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666." name="/" resourcePath="">
+ <toolChain id="com.arm.toolchain.baremetal.exe.debug.1871020344" name="ARM Compiler" nonInternalBuilderId="com.arm.toolchain.baremetal.builder" superClass="com.arm.toolchain.baremetal.exe.debug">
+ <targetPlatform id="com.arm.toolchain.baremetal.exe.debug.1871020344.229212655" name=""/>
+ <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/release}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.1172218807" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
+ <tool id="com.arm.tool.c.compiler.baremetal.exe.debug.724686906" name="ARM C Compiler" superClass="com.arm.tool.c.compiler.baremetal.exe.debug">
+ <option id="com.arm.tool.c.compiler.option.incpath.2126170575" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/><listOptionValue builtIn="false" value="${ProjDirPath}/.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos"/></option>
+ <option id="com.arm.tool.c.compiler.option.defmac.741292309" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__NDEBUG"/><listOptionValue builtIn="false" value="CPU_MCIMX7D_M4"/></option>
+ <option id="com.arm.tool.c.compiler.option.targetcpu.499083253" name="Target CPU (--cpu)" superClass="com.arm.tool.c.compiler.option.targetcpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.c.compiler.option.targetfpu.747092604" name="Target FPU (--fpu)" superClass="com.arm.tool.c.compiler.option.targetfpu" value="FPv4-SP" valueType="string"/>
+ <option id="com.arm.tool.c.compiler.option.fpmode.885590841" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.c.compiler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.option.fppcs.1131381472" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.c.compiler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.baremetal.exe.debug.option.opt.445197165" name="Optimization level" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.option.opt" value="com.arm.tool.c.compiler.option.optlevel.max" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.option.flags.1769123778" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
+ <inputType id="com.arm.tool.c.compiler.input.1270990193" superClass="com.arm.tool.c.compiler.input"/>
+ <inputType id="com.arm.tool.cpp.compiler.input.840085126" superClass="com.arm.tool.cpp.compiler.input"/>
+ <option id="com.arm.tool.c.compiler.option.gnu.160882705" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.charsize.373725440" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt.3621236229" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt" valueType="enumerated" value="com.arm.tool.c.compiler.option.optlevel.max"/><option id="com.arm.tool.c.compiler.option.optfor.7523721225" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.145111041" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.2490220300" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.options.debug.enabled.5616965681" superClass="com.arm.tool.c.compiler.options.debug.enabled" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.suppress.2559180681" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.6753288748" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.2592527169" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.endian.1244971194" superClass="com.arm.tool.c.compiler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.auto"/><option id="com.arm.tool.c.compiler.option.inter.7199576183" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.6949539548" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.4441646556" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.9741650555" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.4522381509" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.4364919022" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.8396536049" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
+ <tool id="com.arm.tool.cpp.compiler.baremetal.exe.debug.1278927352" name="ARM C++ Compiler" superClass="com.arm.tool.cpp.compiler.baremetal.exe.debug">
+ <option id="com.arm.tool.c.compiler.option.flags.191883218" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
+ </tool>
+ <tool id="com.arm.tool.assembler.782505281" name="ARM Assembler" superClass="com.arm.tool.assembler">
+ <option id="com.arm.tool.assembler.option.cpu.1791675215" name="Target CPU (--cpu)" superClass="com.arm.tool.assembler.option.cpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.assembler.option.fpu.1669042968" name="Target FPU (--fpu)" superClass="com.arm.tool.assembler.option.fpu" value="FPv4-SP" valueType="string"/>
+ <option id="com.arm.tool.assembler.option.fpmode.150044025" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.assembler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
+ <option id="com.arm.tool.assembler.option.fppcs.1033303317" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.assembler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
+ <option id="com.arm.tool.assembler.option.flags.1985199179" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; -I&quot;${ProjDirPath}/..&quot; " valueType="string"/>
+ <option id="com.arm.tool.assembler.option.preproc.664063763" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="false" valueType="boolean"/>
+ <option id="com.arm.tool.assembler.option.preprocflags.7091611082" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value=""/><option id="com.arm.tool.assembler.option.unalign.3881338035" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.9939168785" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.6190504781" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.2824394241" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.5434479895" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.3680473675" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
+ <tool id="com.arm.tool.c.linker.1301355868" name="ARM Linker" superClass="com.arm.tool.c.linker">
+ <option id="com.arm.tool.c.linker.option.cpu.522518248" name="Target CPU (--cpu)" superClass="com.arm.tool.c.linker.option.cpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.scatter.208008498" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/arm/MCIMX7D_M4_tcm.scf" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.libs.1119897339" name="Libraries (--library)" superClass="com.arm.tool.c.linker.option.libs"/>
+ <option id="com.arm.tool.c.linker.option.libsearch.226638094" name="Library search path (--userlibpath)" superClass="com.arm.tool.c.linker.option.libsearch"/>
+ <option id="com.arm.tool.c.linker.libs.962860959" name="Other library files" superClass="com.arm.tool.c.linker.libs"/>
+ <option id="com.arm.tool.c.linker.option.entry.2139204104" name="Image entry point (--entry)" superClass="com.arm.tool.c.linker.option.entry" value="Reset_Handler" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.imagemap.754891612" name="Generate image map (--map)" superClass="com.arm.tool.c.linker.option.imagemap" value="false" valueType="boolean"/>
+ <option id="com.arm.tool.c.linker.option.syslibs.948170748" name="Standard library search path (--libpath)" superClass="com.arm.tool.c.linker.option.syslibs"/>
+
+ <inputType id="com.arm.tool.c.linker.input.2130990920" superClass="com.arm.tool.c.linker.input">
+ <additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
+ <additionalInput kind="additionalinput" paths="$(LIBS)"/>
+ </inputType>
+ <option id="com.arm.tool.c.linker.option.fpu.5405522982" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.4333113503" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.1786845090" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.8598167579" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.3147027390" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.2748876720" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.7372336582" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
+ <tool id="com.arm.tool.librarian.2006874949" name="ARM Librarian" superClass="com.arm.tool.librarian"/>
+ </toolChain>
+ </folderInfo>
+ </configuration>
+ </storageModule>
+ <storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
+ </cconfiguration>
+ </storageModule>
+ <storageModule moduleId="cdtBuildSystem" version="4.0.0">
+ <project id="com.arm.eclipse.build.project.baremetal.exe.1023008919" name="Bare-metal Executable" projectType="com.arm.eclipse.build.project.baremetal.exe"/>
+ </storageModule>
+ <storageModule moduleId="scannerConfiguration">
+ <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
+ <scannerConfigBuildInfo instanceId="com.arm.eclipse.build.config.baremetal.exe.debug.893051445;com.arm.eclipse.build.config.baremetal.exe.debug.893051445.;com.arm.tool.c.compiler.baremetal.exe.debug.1501911384;com.arm.tool.c.compiler.input.905747706">
+ <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId="com.arm.eclipse.builder.armcc.ARMCompilerDiscoveryProfile"/>
+ </scannerConfigBuildInfo>
+ </storageModule>
+ <storageModule moduleId="refreshScope" versionNumber="1">
+ <resource resourceType="PROJECT" workspacePath="/app"/>
+ </storageModule>
+ <storageModule moduleId="org.eclipse.cdt.internal.ui.text.commentOwnerProjectMappings"/>
+</cproject>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/ds5/.project b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/ds5/.project
new file mode 100644
index 0000000..bba19f0
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/ds5/.project
@@ -0,0 +1,86 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<projectDescription>
+ <name>rpmsg_pingpong_freertos_example_imx7d_sdb_m4</name>
+ <comment/>
+ <projects>
+ </projects>
+ <buildSpec>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.genmakebuilder</name>
+ <triggers>clean,full,incremental,</triggers>
+ <arguments>
+ <dictionary>
+ <key>?name?</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.append_environment</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.autoBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildArguments</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildCommand</key>
+ <value>make</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildLocation</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.cleanBuildTarget</key>
+ <value>clean</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.contents</key>
+ <value>org.eclipse.cdt.make.core.activeConfigSettings</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableAutoBuild</key>
+ <value>false</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableCleanBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableFullBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.fullBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.stopOnError</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.useDefaultBuildCmd</key>
+ <value>true</value>
+ </dictionary>
+ </arguments>
+ </buildCommand>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder</name>
+ <triggers>full,incremental,</triggers>
+ <arguments>
+ </arguments>
+ </buildCommand>
+ </buildSpec>
+ <natures>
+ <nature>org.eclipse.cdt.core.cnature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.managedBuildNature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.ScannerConfigNature</nature>
+ </natures>
+ <linkedResources>
+ <link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/port.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F/port.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/portmacro.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F/portmacro.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/startup_MCIMX7D_M4.s</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/arm/startup_MCIMX7D_M4.s</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/heap_2.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/MemMang/heap_2.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/FreeRTOSConfig.h</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/FreeRTOSConfig.h</locationURI></link><link><name>source</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>source/pingpong_freertos.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/pingpong_freertos.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_rtos.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_rtos.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_platform_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/rpmsg_platform_porting.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_ext.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_ext.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/env.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/env.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio_ring.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio_ring.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/freertos/rpmsg_porting.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/croutine.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/croutine.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/event_groups.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/event_groups.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/FreeRTOS.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/FreeRTOS.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/list.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/list.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/mpu_wrappers.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/mpu_wrappers.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/portable.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/portable.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/projdefs.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/projdefs.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/queue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/queue.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/semphr.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/semphr.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/StackMacros.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/StackMacros.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/task.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/task.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/timers.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/timers.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_analog_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/lmem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/lmem.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc_defs_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc_defs_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/wdog_imx.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_rtos.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_rtos.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform_info.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/remote_device.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/remote_device.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_ext.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_ext.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_porting.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/freertos/rpmsg_porting.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/croutine.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/croutine.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/event_groups.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/event_groups.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/list.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/list.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/queue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/queue.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/tasks.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/tasks.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/timers.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/timers.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_analog_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/lmem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/lmem.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/rdc.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/wdog_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/debug_console_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/inc/debug_console_imx.h</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/hardware_init.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/hardware_init.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/uart_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/uart_imx.h</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/mu_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/mu_imx.h</locationURI></link></linkedResources>
+ <variableList>
+ </variableList>
+</projectDescription>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/hardware_init.c b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/hardware_init.c
index aa69475..aa69475 100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/hardware_init.c
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/hardware_init.c
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/pingpong_freertos.c b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/pingpong_freertos.c
new file mode 100644
index 0000000..6d0c03d
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/pingpong_freertos/pingpong_freertos.c
@@ -0,0 +1,136 @@
+/*
+ * Copyright (c) 2015, Freescale Semiconductor, Inc.
+ * All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without modification,
+ * are permitted provided that the following conditions are met:
+ *
+ * o Redistributions of source code must retain the above copyright notice, this list
+ * of conditions and the following disclaimer.
+ *
+ * o Redistributions in binary form must reproduce the above copyright notice, this
+ * list of conditions and the following disclaimer in the documentation and/or
+ * other materials provided with the distribution.
+ *
+ * o Neither the name of Freescale Semiconductor, Inc. nor the names of its
+ * contributors may be used to endorse or promote products derived from this
+ * software without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+ * WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+ * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR
+ * ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+ * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+ * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
+ * ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+ */
+
+///////////////////////////////////////////////////////////////////////////////
+// Includes
+///////////////////////////////////////////////////////////////////////////////
+#include "rpmsg/rpmsg_rtos.h"
+#include "FreeRTOS.h"
+#include "task.h"
+#include "semphr.h"
+#include "board.h"
+#include "mu_imx.h"
+#include "debug_console_imx.h"
+
+////////////////////////////////////////////////////////////////////////////////
+// Definitions
+////////////////////////////////////////////////////////////////////////////////
+#define APP_TASK_STACK_SIZE 256
+
+/*
+ * APP decided interrupt priority
+ */
+#define APP_MU_IRQ_PRIORITY 3
+
+typedef struct the_message
+{
+ uint32_t DATA;
+} THE_MESSAGE, * THE_MESSAGE_PTR;
+
+////////////////////////////////////////////////////////////////////////////////
+// Code
+////////////////////////////////////////////////////////////////////////////////
+
+static void PingPongTask (void* param)
+{
+ int result;
+ struct remote_device *rdev = NULL;
+ struct rpmsg_channel *app_chnl = NULL;
+ THE_MESSAGE msg = {0};
+ int len;
+
+ /* Print the initial banner */
+ PRINTF("\r\nRPMSG PingPong FreeRTOS RTOS API Demo...\r\n");
+
+ PRINTF("RPMSG Init as Remote\r\n");
+ result = rpmsg_rtos_init(0 /*REMOTE_CPU_ID*/, &rdev, RPMSG_MASTER, &app_chnl);
+ assert(0 == result);
+
+ PRINTF("Name service handshake is done, M4 has setup a rpmsg channel [%d ---> %d]\r\n", app_chnl->src, app_chnl->dst);
+
+ while (true)
+ {
+ /* receive/send data to channel default ept */
+ result = rpmsg_rtos_recv(app_chnl->rp_ept, &msg, &len, sizeof(THE_MESSAGE), NULL, 0xFFFFFFFF);
+ assert(0 == result);
+ PRINTF("Get Data From Master Side : %d\r\n", msg.DATA);
+ msg.DATA++;
+ result = rpmsg_rtos_send(app_chnl->rp_ept, &msg, sizeof(THE_MESSAGE), app_chnl->dst);
+ assert(0 == result);
+ }
+
+ /* If destruction required */
+ /*
+ PRINTF("\r\nMessage pingpong finished\r\n");
+
+ rpmsg_rtos_deinit(rdev);
+ */
+}
+
+/*
+ * MU Interrrupt ISR
+ */
+void BOARD_MU_HANDLER(void)
+{
+ /*
+ * calls into rpmsg_handler provided by middleware
+ */
+ rpmsg_handler();
+}
+
+/*!
+ * @brief Main function
+ */
+int main (void)
+{
+ hardware_init();
+
+ /*
+ * Prepare for the MU Interrupt
+ * MU must be initialized before rpmsg init is called
+ */
+ MU_Init(BOARD_MU_BASE_ADDR);
+ NVIC_SetPriority(BOARD_MU_IRQ_NUM, APP_MU_IRQ_PRIORITY);
+ NVIC_EnableIRQ(BOARD_MU_IRQ_NUM);
+
+ /* Create a demo task. */
+ xTaskCreate(PingPongTask, "Ping Pong Task", APP_TASK_STACK_SIZE, NULL, tskIDLE_PRIORITY + 1, NULL);
+
+ /* Start FreeRTOS scheduler. */
+ vTaskStartScheduler();
+
+ /* Should never reach this point. */
+ while(true);
+}
+
+/*******************************************************************************
+ * EOF
+ ******************************************************************************/
+
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/.project b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/.project
deleted file mode 100644
index 8fb75a6..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/.project
+++ /dev/null
@@ -1,86 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<projectDescription>
- <name>rpmsg_str_echo_example_imx7d_sdb_m4</name>
- <comment/>
- <projects>
- </projects>
- <buildSpec>
- <buildCommand>
- <name>org.eclipse.cdt.managedbuilder.core.genmakebuilder</name>
- <triggers>clean,full,incremental,</triggers>
- <arguments>
- <dictionary>
- <key>?name?</key>
- <value/>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.append_environment</key>
- <value>true</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.autoBuildTarget</key>
- <value>all</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.buildArguments</key>
- <value/>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.buildCommand</key>
- <value>make</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.buildLocation</key>
- <value/>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.cleanBuildTarget</key>
- <value>clean</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.contents</key>
- <value>org.eclipse.cdt.make.core.activeConfigSettings</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.enableAutoBuild</key>
- <value>false</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.enableCleanBuild</key>
- <value>true</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.enableFullBuild</key>
- <value>true</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.fullBuildTarget</key>
- <value>all</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.stopOnError</key>
- <value>true</value>
- </dictionary>
- <dictionary>
- <key>org.eclipse.cdt.make.core.useDefaultBuildCmd</key>
- <value>true</value>
- </dictionary>
- </arguments>
- </buildCommand>
- <buildCommand>
- <name>org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder</name>
- <triggers>full,incremental,</triggers>
- <arguments>
- </arguments>
- </buildCommand>
- </buildSpec>
- <natures>
- <nature>org.eclipse.cdt.core.cnature</nature>
- <nature>org.eclipse.cdt.managedbuilder.core.managedBuildNature</nature>
- <nature>org.eclipse.cdt.managedbuilder.core.ScannerConfigNature</nature>
- </natures>
- <linkedResources>
- <link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/port.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F/port.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/portmacro.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F/portmacro.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/startup_MCIMX7D_M4.s</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/arm/startup_MCIMX7D_M4.s</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/heap_2.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/MemMang/heap_2.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/plat_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/plat_porting.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/env.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/env.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio_ring.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio_ring.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/croutine.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/croutine.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/event_groups.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/event_groups.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/FreeRTOS.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/FreeRTOS.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/list.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/list.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/mpu_wrappers.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/mpu_wrappers.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/portable.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/portable.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/projdefs.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/projdefs.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/queue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/queue.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/semphr.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/semphr.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/StackMacros.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/StackMacros.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/task.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/task.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/timers.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/timers.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_analog_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc_defs_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc_defs_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/wdog_imx.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform_info.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/freertos_env.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/freertos_env.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/remote_device.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/remote_device.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/croutine.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/croutine.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/event_groups.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/event_groups.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/list.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/list.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/queue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/queue.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/tasks.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/tasks.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/timers.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/timers.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_analog_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/rdc.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/wdog_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/debug_console_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/inc/debug_console_imx.h</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/hardware_init.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/hardware_init.c</locationURI></link><link><name>source</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>source/str_echo.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/str_echo.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/uart_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/uart_imx.h</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/mu_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/mu_imx.h</locationURI></link></linkedResources>
- <variableList>
- </variableList>
-</projectDescription>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/makedir.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/makedir.bat
deleted file mode 100755
index 4860035..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/makedir.bat
+++ /dev/null
@@ -1 +0,0 @@
-IF NOT EXIST "%1" mkdir "%1"
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/rpmsg_str_echo_example.wsd b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/rpmsg_str_echo_example.wsd
deleted file mode 100644
index b54d9e7..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/rpmsg_str_echo_example.wsd
+++ /dev/null
@@ -1,9 +0,0 @@
-<?xml version="1.0"?>
-<workspace xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="workingsets.xsd">
- <projects>
- <project><name>rpmsg_str_echo_example_imx7d_sdb_m4</name><path>.</path><open>true</open><activeconfig>release</activeconfig><buildreferences config="release">false</buildreferences><activeconfig>debug</activeconfig><buildreferences config="debug">false</buildreferences></project></projects>
- <workingsets>
- <workingSet editPageId="org.eclipse.cdt.ui.CElementWorkingSetPage" id="1323268527287_1" label="rpmsg_str_echo_example" name="rpmsg_str_echo_example"><item factoryID="org.eclipse.cdt.ui.PersistableCElementFactory" path="/rpmsg_str_echo_example_imx7d_sdb_m4" type="4"/></workingSet></workingsets>
- <cdtconfigurations>
- <workingSet name="rpmsg_str_echo_example"><config name="release"><project config="com.freescale.arm.cdt.toolchain.config.arm.release.695495605" name="rpmsg_str_echo_example_imx7d_sdb_m4" configName="release"/></config><config name="debug"><project config="com.freescale.arm.cdt.toolchain.config.arm.release.695495605" name="rpmsg_str_echo_example_imx7d_sdb_m4" configName="debug"/></config></workingSet></cdtconfigurations>
-</workspace>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.ewd b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.ewd
deleted file mode 100644
index 7f9ea8c..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.ewd
+++ /dev/null
@@ -1,9419 +0,0 @@
-<?xml version="1.0" encoding="iso-8859-1"?>
-<project>
- <fileVersion>2</fileVersion>
- <configuration>
- <name>Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>1</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>6.50.6.4952</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>7.10.1.6733</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>5</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>5000</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Release</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>0</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>1</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>6.50.6.4952</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.70.3.6347</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>5</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>5000</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Flash SramData Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state/>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Flash SramData Release</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>0</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state>10.171.88.231</state>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Flash DDRData Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state/>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Flash DDRData Release</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>0</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>1</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state>10.171.88.231</state>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
- <configuration>
- <name>Int Ram Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>C-SPY</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>26</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CInput</name>
- <state>1</state>
- </option>
- <option>
- <name>CEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>CProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCVariant</name>
- <state>0</state>
- </option>
- <option>
- <name>MacOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MacFile</name>
- <state/>
- </option>
- <option>
- <name>MemOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>MemFile</name>
- <state>$TOOLKIT_DIR$\CONFIG\debugger\Freescale\MK70FN1M0xxx12.ddf</state>
- </option>
- <option>
- <name>RunToEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>RunToName</name>
- <state>main</state>
- </option>
- <option>
- <name>CExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDDFArgumentProducer</name>
- <state/>
- </option>
- <option>
- <name>OCDownloadSuppressDownload</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDownloadVerifyAll</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProductVersion</name>
- <state>5.50.0.51907</state>
- </option>
- <option>
- <name>OCDynDriverList</name>
- <state>JLINK_ID</state>
- </option>
- <option>
- <name>OCLastSavedByProductVersion</name>
- <state>6.40.2.53991</state>
- </option>
- <option>
- <name>OCDownloadAttachToProgram</name>
- <state>0</state>
- </option>
- <option>
- <name>UseFlashLoader</name>
- <state>0</state>
- </option>
- <option>
- <name>CLowLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>OCBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>MacFile2</name>
- <state/>
- </option>
- <option>
- <name>CDevice</name>
- <state>1</state>
- </option>
- <option>
- <name>FlashLoadersV3</name>
- <state>$TOOLKIT_DIR$\config\flashloader\Freescale\FlashK70Fxxx128K.board</state>
- </option>
- <option>
- <name>OCImagesSuppressCheck1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesSuppressCheck3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesPath3</name>
- <state/>
- </option>
- <option>
- <name>OverrideDefFlashBoard</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesOffset1</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset2</name>
- <state/>
- </option>
- <option>
- <name>OCImagesOffset3</name>
- <state/>
- </option>
- <option>
- <name>OCImagesUse1</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse2</name>
- <state>0</state>
- </option>
- <option>
- <name>OCImagesUse3</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDeviceConfigMacroFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OCDebuggerExtraOption</name>
- <state>1</state>
- </option>
- <option>
- <name>OCAllMTBOptions</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreNrOfCores</name>
- <state>1</state>
- </option>
- <option>
- <name>OCMulticoreMaster</name>
- <state>0</state>
- </option>
- <option>
- <name>OCMulticorePort</name>
- <state>53461</state>
- </option>
- <option>
- <name>OCMulticoreWorkspace</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveProject</name>
- <state/>
- </option>
- <option>
- <name>OCMulticoreSlaveConfiguration</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ARMSIM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCSimDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCSimEnablePSP</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspOverrideConfig</name>
- <state>0</state>
- </option>
- <option>
- <name>OCSimPspConfigFile</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>ANGEL_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCAngelHeartbeat</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommunication</name>
- <state>1</state>
- </option>
- <option>
- <name>CAngelCommBaud</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>CAngelCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>ANGELTCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoAngelLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>AngelLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CMSISDAP_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CMSISDAPResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>CMSISDAPHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>CMSISDAPDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>1</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>1</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>CMSISDAPProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CMSISDAPSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>GDBSERVER_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARROM_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRomLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRomLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CRomCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CRomCommBaud</name>
- <version>0</version>
- <state>7</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IJET_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>OCIarProbeScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetResetList</name>
- <version>1</version>
- <state>10</state>
- </option>
- <option>
- <name>IjetHWResetDuration</name>
- <state>300</state>
- </option>
- <option>
- <name>IjetHWResetDelay</name>
- <state>200</state>
- </option>
- <option>
- <name>IjetPowerFromProbe</name>
- <state>1</state>
- </option>
- <option>
- <name>IjetPowerRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>IjetInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTargetEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetJtagSpeedList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetProtocolRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSwoPin</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>IjetSwoPrescalerList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>IjetBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetRestoreBreakpointsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetUpdateBreakpointsEdit</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>RDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>RDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchCHKERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeCfgOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OCProbeConfig</name>
- <state/>
- </option>
- <option>
- <name>IjetProbeConfigRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetMultiCPUNumber</name>
- <state>0</state>
- </option>
- <option>
- <name>IjetSelectedCPUBehaviour</name>
- <state>0</state>
- </option>
- <option>
- <name>ICpuName</name>
- <state/>
- </option>
- <option>
- <name>OCJetEmuParams</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>JLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>15</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>JLinkSpeed</name>
- <state>100</state>
- </option>
- <option>
- <name>CCJLinkDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCJLinkHWResetDelay</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>JLinkInitialSpeed</name>
- <state>32</state>
- </option>
- <option>
- <name>CCDoJlinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCScanChainNonARMDevices</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkIRLength</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkCommRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTCPIP</name>
- <state/>
- </option>
- <option>
- <name>CCJLinkSpeedRadioV2</name>
- <state>0</state>
- </option>
- <option>
- <name>CCUSBDevice</name>
- <version>1</version>
- <state>1</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCJLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkResetList</name>
- <version>6</version>
- <state>7</state>
- </option>
- <option>
- <name>CCJLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCORERESET</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchMMERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchNOCPERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchCHRERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchSTATERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchBUSERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchINTERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchHARDERR</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCatchDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkScriptFile</name>
- <state>1</state>
- </option>
- <option>
- <name>CCJLinkUsbSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCTcpIpAlt</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJLinkTcpIpSerialNo</name>
- <state/>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- <option>
- <name>OCJLinkTraceSource</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkTraceSourceDummy</name>
- <state>0</state>
- </option>
- <option>
- <name>OCJLinkDeviceName</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>LMIFTDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>LmiftdiSpeed</name>
- <state>500</state>
- </option>
- <option>
- <name>CCLmiftdiDoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiftdiLogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCLmiFtdiInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>MACRAIGOR_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>3</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>jtag</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>EmuSpeed</name>
- <state>1</state>
- </option>
- <option>
- <name>TCPIP</name>
- <state>aaa.bbb.ccc.ddd</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>DoEmuMultiTarget</name>
- <state>0</state>
- </option>
- <option>
- <name>EmuMultiTarget</name>
- <state>0@ARM7TDMI</state>
- </option>
- <option>
- <name>EmuHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CEmuCommBaud</name>
- <version>0</version>
- <state>4</state>
- </option>
- <option>
- <name>CEmuCommPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>jtago</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>UnusedAddr</name>
- <state>0x00800000</state>
- </option>
- <option>
- <name>CCMacraigorHWResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCJTagBreakpointRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagDoUpdateBreakpoints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCJTagUpdateBreakpoints</name>
- <state>_call_main</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMacraigorInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>PEMICRO_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCPEMicroAttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroInterfaceList</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroResetDelay</name>
- <state/>
- </option>
- <option>
- <name>CCPEMicroJtagSpeed</name>
- <state>#UNINITIALIZED#</state>
- </option>
- <option>
- <name>CCJPEMicroShowSettings</name>
- <state>0</state>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCPEMicroUSBDevice</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCPEMicroSerialPort</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCJPEMicroTCPIPAutoScanNetwork</name>
- <state>1</state>
- </option>
- <option>
- <name>CCPEMicroTCPIP</name>
- <state>10.0.0.1</state>
- </option>
- <option>
- <name>CCPEMicroCommCmdLineProducer</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>RDI_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CRDIDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CRDILogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CRDILogFileEdit</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>CCRDIHWReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchReset</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchUndef</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchSWI</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchData</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchPrefetch</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchIRQ</name>
- <state>0</state>
- </option>
- <option>
- <name>CCRDICatchFIQ</name>
- <state>0</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>STLINK_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceRadio</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkInterfaceCmdLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSTLinkResetList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>CCCpuClockEdit</name>
- <state>72.0</state>
- </option>
- <option>
- <name>CCSwoClockAuto</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSwoClockEdit</name>
- <state>2000</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>THIRDPARTY_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CThirdPartyDriverDll</name>
- <state>###Uninitialized###</state>
- </option>
- <option>
- <name>CThirdPartyLogFileCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CThirdPartyLogFileEditB</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>XDS100_ID</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>2</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OCDriverInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>OCXDS100AttachSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>TIPackageOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>TIPackage</name>
- <state/>
- </option>
- <option>
- <name>CCXds100InterfaceList</name>
- <version>1</version>
- <state>0</state>
- </option>
- <option>
- <name>BoardFile</name>
- <state/>
- </option>
- <option>
- <name>DoLogfile</name>
- <state>0</state>
- </option>
- <option>
- <name>LogFile</name>
- <state>$PROJ_DIR$\cspycomm.log</state>
- </option>
- </data>
- </settings>
- <debuggerPlugins>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\middleware\HCCWare\HCCWare.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\AVIX\AVIX.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\CMX\CmxTinyArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\embOS\embOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\MQX\MQXRtosPlugin.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\OpenRTOS\OpenRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\SafeRTOS\SafeRTOSPlugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\ThreadX\ThreadXArmPlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\TI-RTOS\tirtosplugin.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-286-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-II\uCOS-II-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$TOOLKIT_DIR$\plugins\rtos\uCOS-III\uCOS-III-KA-CSpy.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\CodeCoverage\CodeCoverage.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\Orti\Orti.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\SymList\SymList.ENU.ewplugin</file>
- <loadFlag>1</loadFlag>
- </plugin>
- <plugin>
- <file>$EW_DIR$\common\plugins\uCProbe\uCProbePlugin.ENU.ewplugin</file>
- <loadFlag>0</loadFlag>
- </plugin>
- </debuggerPlugins>
- </configuration>
-</project>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.ewp b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.ewp
deleted file mode 100644
index da4e5e6..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.ewp
+++ /dev/null
@@ -1,1891 +0,0 @@
-<?xml version="1.0" encoding="iso-8859-1"?>
-<project>
- <fileVersion>2</fileVersion>
- <configuration>
- <name>Debug</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>1</debug>
- <settings>
- <name>General</name>
- <archiveVersion>3</archiveVersion>
- <data>
- <version>22</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>ExePath</name>
- <state>$PROJ_DIR$/debug</state>
- </option>
- <option>
- <name>ObjPath</name>
- <state>$PROJ_DIR$/debug/obj</state>
- </option>
- <option>
- <name>ListPath</name>
- <state>$PROJ_DIR$/debug/list</state>
- </option>
- <option>
- <name>Variant</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>GEndianMode</name>
- <state>0</state>
- </option>
- <option>
- <name>Input variant</name>
- <version>3</version>
- <state>6</state>
- </option>
- <option>
- <name>Input description</name>
- <state>Automatic choice of formatter.</state>
- </option>
- <option>
- <name>Output variant</name>
- <version>2</version>
- <state>5</state>
- </option>
- <option>
- <name>Output description</name>
- <state>Automatic choice of formatter.</state>
- </option>
- <option>
- <name>GOutputBinary</name>
- <state>0</state>
- </option>
- <option>
- <name>FPU</name>
- <version>2</version>
- <state>5</state>
- </option>
- <option>
- <name>OGCoreOrChip</name>
- <state>0</state>
- </option>
- <option>
- <name>GRuntimeLibSelect</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>GRuntimeLibSelectSlave</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>RTDescription</name>
- <state>0</state>
- </option>
- <option>
- <name>OGProductVersion</name>
- <state>6.50.6.4952</state>
- </option>
- <option>
- <name>OGLastSavedByProductVersion</name>
- <state>7.20.1.7306</state>
- </option>
- <option>
- <name>GeneralEnableMisra</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraVerbose</name>
- <state>0</state>
- </option>
- <option>
- <name>OGChipSelectEditMenu</name>
- <state/>
- </option>
- <option>
- <name>GenLowLevelInterface</name>
- <state>1</state>
- </option>
- <option>
- <name>GEndianModeBE</name>
- <state>1</state>
- </option>
- <option>
- <name>OGBufferedTerminalOutput</name>
- <state>0</state>
- </option>
- <option>
- <name>GenStdoutInterface</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraRules98</name>
- <version>0</version>
- <state>1000111110110101101110011100111111101110011011000101110111101101100111111111111100110011111001110111001111111111111111111111111</state>
- </option>
- <option>
- <name>GeneralMisraVer</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraRules04</name>
- <version>0</version>
- <state>111101110010111111111000110111111111111111111111111110010111101111010101111111111111111111111111101111111011111001111011111011111111111111111</state>
- </option>
- <option>
- <name>RTConfigPath2</name>
- <state>$TOOLKIT_DIR$\INC\c\DLib_Config_Normal.h</state>
- </option>
- <option>
- <name>GFPUCoreSlave</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>GBECoreSlave</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>OGUseCmsis</name>
- <state>0</state>
- </option>
- <option>
- <name>OGUseCmsisDspLib</name>
- <state>0</state>
- </option>
- <option>
- <name>GRuntimeLibThreads</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>ICCARM</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>31</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>CCDefines</name>
-
- <state>__DEBUG</state><state>CPU_IMX7D_M4</state></option>
- <option>
- <name>CCPreprocFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPreprocComments</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPreprocLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCMnemonics</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCMessages</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListAssFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListAssSource</name>
- <state>0</state>
- </option>
- <option>
- <name>CCEnableRemarks</name>
- <state>0</state>
- </option>
- <option>
- <name>CCDiagSuppress</name>
- <state>Pa039,Pe068,Pe069,Pa082,Pe177,Pe186,Pe550,Pa050</state>
- </option>
- <option>
- <name>CCDiagRemark</name>
- <state/>
- </option>
- <option>
- <name>CCDiagWarning</name>
- <state/>
- </option>
- <option>
- <name>CCDiagError</name>
- <state/>
- </option>
- <option>
- <name>CCObjPrefix</name>
- <state>1</state>
- </option>
- <option>
- <name>CCAllowList</name>
- <version>1</version>
- <state>00000000</state>
- </option>
- <option>
- <name>CCDebugInfo</name>
- <state>1</state>
- </option>
- <option>
- <name>IEndianMode</name>
- <state>1</state>
- </option>
- <option>
- <name>IProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>IExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CCLangConformance</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSignedPlainChar</name>
- <state>1</state>
- </option>
- <option>
- <name>CCRequirePrototypes</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMultibyteSupport</name>
- <state>0</state>
- </option>
- <option>
- <name>CCDiagWarnAreErr</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCompilerRuntimeInfo</name>
- <state>0</state>
- </option>
- <option>
- <name>IFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OutputFile</name>
- <state>$FILE_BNAME$.o</state>
- </option>
- <option>
- <name>CCLibConfigHeader</name>
- <state>1</state>
- </option>
- <option>
- <name>PreInclude</name>
- <state/>
- </option>
- <option>
- <name>CompilerMisraOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>CCIncludePath2</name>
-
- <state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F</state><state>$PROJ_DIR$/../../../../../../platform/CMSIS/Include</state><state>$PROJ_DIR$/../../../../../../platform/devices</state><state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/include</state><state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup</state><state>$PROJ_DIR$/../../../../../../platform/drivers/inc</state><state>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4</state><state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include</state><state>$PROJ_DIR$/../../../../../../platform/utilities/inc</state><state>$PROJ_DIR$/../../../..</state><state>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp</state></option>
- <option>
- <name>CCStdIncCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCodeSection</name>
- <state>.text</state>
- </option>
- <option>
- <name>IInterwork2</name>
- <state>0</state>
- </option>
- <option>
- <name>IProcessorMode2</name>
- <state>1</state>
- </option>
- <option>
- <name>CCOptLevel</name>
- <state>1</state>
- </option>
- <option>
- <name>CCOptStrategy</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCOptLevelSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CompilerMisraRules98</name>
- <version>0</version>
- <state>1000111110110101101110011100111111101110011011000101110111101101100111111111111100110011111001110111001111111111111111111111111</state>
- </option>
- <option>
- <name>CompilerMisraRules04</name>
- <version>0</version>
- <state>111101110010111111111000110111111111111111111111111110010111101111010101111111111111111111111111101111111011111001111011111011111111111111111</state>
- </option>
- <option>
- <name>CCPosIndRopi</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPosIndRwpi</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPosIndNoDynInit</name>
- <state>0</state>
- </option>
- <option>
- <name>IccLang</name>
- <state>0</state>
- </option>
- <option>
- <name>IccCDialect</name>
- <state>1</state>
- </option>
- <option>
- <name>IccAllowVLA</name>
- <state>0</state>
- </option>
- <option>
- <name>IccCppDialect</name>
- <state>2</state>
- </option>
- <option>
- <name>IccExceptions</name>
- <state>1</state>
- </option>
- <option>
- <name>IccRTTI</name>
- <state>1</state>
- </option>
- <option>
- <name>IccStaticDestr</name>
- <state>1</state>
- </option>
- <option>
- <name>IccCppInlineSemantics</name>
- <state>1</state>
- </option>
- <option>
- <name>IccCmsis</name>
- <state>1</state>
- </option>
- <option>
- <name>IccFloatSemantics</name>
- <state>0</state>
- </option>
- <option>
- <name>CCOptimizationNoSizeConstraints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCNoLiteralPool</name>
- <state>0</state>
- </option>
- <option>
- <name>CCOptStrategySlave</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCGuardCalls</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>AARM</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>9</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>AObjPrefix</name>
- <state>1</state>
- </option>
- <option>
- <name>AEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>ACaseSensitivity</name>
- <state>1</state>
- </option>
- <option>
- <name>MacroChars</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>AWarnEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>AWarnWhat</name>
- <state>0</state>
- </option>
- <option>
- <name>AWarnOne</name>
- <state/>
- </option>
- <option>
- <name>AWarnRange1</name>
- <state/>
- </option>
- <option>
- <name>AWarnRange2</name>
- <state/>
- </option>
- <option>
- <name>ADebug</name>
- <state>1</state>
- </option>
- <option>
- <name>AltRegisterNames</name>
- <state>1</state>
- </option>
- <option>
- <name>ADefines</name>
-
- <state>__DEBUG</state></option>
- <option>
- <name>AList</name>
- <state>0</state>
- </option>
- <option>
- <name>AListHeader</name>
- <state>1</state>
- </option>
- <option>
- <name>AListing</name>
- <state>1</state>
- </option>
- <option>
- <name>Includes</name>
- <state>0</state>
- </option>
- <option>
- <name>MacDefs</name>
- <state>0</state>
- </option>
- <option>
- <name>MacExps</name>
- <state>1</state>
- </option>
- <option>
- <name>MacExec</name>
- <state>0</state>
- </option>
- <option>
- <name>OnlyAssed</name>
- <state>0</state>
- </option>
- <option>
- <name>MultiLine</name>
- <state>0</state>
- </option>
- <option>
- <name>PageLengthCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>PageLength</name>
- <state>80</state>
- </option>
- <option>
- <name>TabSpacing</name>
- <state>8</state>
- </option>
- <option>
- <name>AXRef</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefDefines</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefInternal</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefDual</name>
- <state>0</state>
- </option>
- <option>
- <name>AProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>AFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>AOutputFile</name>
- <state>$FILE_BNAME$.o</state>
- </option>
- <option>
- <name>AMultibyteSupport</name>
- <state>0</state>
- </option>
- <option>
- <name>ALimitErrorsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>ALimitErrorsEdit</name>
- <state>100</state>
- </option>
- <option>
- <name>AIgnoreStdInclude</name>
- <state>0</state>
- </option>
- <option>
- <name>AUserIncludes</name>
-
- <state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F</state><state>$PROJ_DIR$/../../../..</state></option>
- <option>
- <name>AExtraOptionsCheckV2</name>
- <state>0</state>
- </option>
- <option>
- <name>AExtraOptionsV2</name>
- <state/>
- </option>
- <option>
- <name>AsmNoLiteralPool</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>OBJCOPY</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>OOCOutputFormat</name>
- <version>2</version>
- <state>2</state>
- </option>
- <option>
- <name>OCOutputOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OOCOutputFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OOCCommandLineProducer</name>
- <state>1</state>
- </option>
- <option>
- <name>OOCObjCopyEnable</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CUSTOM</name>
- <archiveVersion>3</archiveVersion>
- <data>
- <extensions/>
- <cmdline/>
- </data>
- </settings>
- <settings>
- <name>BICOMP</name>
- <archiveVersion>0</archiveVersion>
- <data/>
- </settings>
- <settings>
- <name>BUILDACTION</name>
- <archiveVersion>1</archiveVersion>
- <data>
- <prebuild/>
- <postbuild/>
- </data>
- </settings>
- <settings>
- <name>ILINK</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>16</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>IlinkLibIOConfig</name>
- <state>1</state>
- </option>
- <option>
- <name>XLinkMisraHandler</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkInputFileSlave</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOutputFile</name>
- <state>rpmsg_str_echo_example.out</state>
- </option>
- <option>
- <name>IlinkDebugInfoEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkKeepSymbols</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinaryFile</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinarySymbol</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinarySegment</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinaryAlign</name>
- <state/>
- </option>
- <option>
- <name>IlinkDefines</name>
- <state/>
- </option>
- <option>
- <name>IlinkConfigDefines</name>
-
- <state>__stack_size__=0x400</state><state>__heap_size__=0x200</state></option>
- <option>
- <name>IlinkMapFile</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkLogFile</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogInitialization</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogModule</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogSection</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogVeneer</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkIcfOverride</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkIcfFile</name>
- <state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/linker/iar/MCIMX7D_M4_tcm.icf</state>
- </option>
- <option>
- <name>IlinkIcfFileSlave</name>
- <state/>
- </option>
- <option>
- <name>IlinkEnableRemarks</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkSuppressDiags</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsRem</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsWarn</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsErr</name>
- <state/>
- </option>
- <option>
- <name>IlinkWarningsAreErrors</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkUseExtraOptions</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>IlinkLowLevelInterfaceSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkAutoLibEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkAdditionalLibs</name>
-
- </option>
- <option>
- <name>IlinkOverrideProgramEntryLabel</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkProgramEntryLabelSelect</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkProgramEntryLabel</name>
- <state>Reset_Handler</state>
- </option>
- <option>
- <name>DoFill</name>
- <state>0</state>
- </option>
- <option>
- <name>FillerByte</name>
- <state>0xFF</state>
- </option>
- <option>
- <name>FillerStart</name>
- <state>0x0</state>
- </option>
- <option>
- <name>FillerEnd</name>
- <state>0x0</state>
- </option>
- <option>
- <name>CrcSize</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>CrcAlign</name>
- <state>1</state>
- </option>
- <option>
- <name>CrcPoly</name>
- <state>0x11021</state>
- </option>
- <option>
- <name>CrcCompl</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CrcBitOrder</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CrcInitialValue</name>
- <state>0x0</state>
- </option>
- <option>
- <name>DoCrc</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkBufferedTerminalOutput</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkStdoutInterfaceSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CrcFullSize</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkIElfToolPostProcess</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogAutoLibSelect</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogRedirSymbols</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogUnusedFragments</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCrcReverseByteOrder</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCrcUseAsInput</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptInline</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOptExceptionsAllow</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptExceptionsForce</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCmsis</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptMergeDuplSections</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOptUseVfe</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptForceVfe</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkStackAnalysisEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkStackControlFile</name>
- <state/>
- </option>
- <option>
- <name>IlinkStackCallGraphFile</name>
- <state/>
- </option>
- <option>
- <name>CrcAlgorithm</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>CrcUnitSize</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IlinkThreadsSlave</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARCHIVE</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>1</debug>
- <option>
- <name>IarchiveInputs</name>
- <state/>
- </option>
- <option>
- <name>IarchiveOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>IarchiveOutput</name>
- <state>###Unitialized###</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>BILINK</name>
- <archiveVersion>0</archiveVersion>
- <data/>
- </settings>
- </configuration>
- <configuration>
- <name>Release</name>
- <toolchain>
- <name>ARM</name>
- </toolchain>
- <debug>0</debug>
- <settings>
- <name>General</name>
- <archiveVersion>3</archiveVersion>
- <data>
- <version>22</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>ExePath</name>
- <state>$PROJ_DIR$/release</state>
- </option>
- <option>
- <name>ObjPath</name>
- <state>$PROJ_DIR$/release/obj</state>
- </option>
- <option>
- <name>ListPath</name>
- <state>$PROJ_DIR$/release/list</state>
- </option>
- <option>
- <name>Variant</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>GEndianMode</name>
- <state>0</state>
- </option>
- <option>
- <name>Input variant</name>
- <version>3</version>
- <state>6</state>
- </option>
- <option>
- <name>Input description</name>
- <state>Automatic choice of formatter.</state>
- </option>
- <option>
- <name>Output variant</name>
- <version>2</version>
- <state>5</state>
- </option>
- <option>
- <name>Output description</name>
- <state>Automatic choice of formatter.</state>
- </option>
- <option>
- <name>GOutputBinary</name>
- <state>0</state>
- </option>
- <option>
- <name>FPU</name>
- <version>2</version>
- <state>5</state>
- </option>
- <option>
- <name>OGCoreOrChip</name>
- <state>0</state>
- </option>
- <option>
- <name>GRuntimeLibSelect</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>GRuntimeLibSelectSlave</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>RTDescription</name>
- <state>0</state>
- </option>
- <option>
- <name>OGProductVersion</name>
- <state>6.50.6.4952</state>
- </option>
- <option>
- <name>OGLastSavedByProductVersion</name>
- <state>7.20.1.7306</state>
- </option>
- <option>
- <name>GeneralEnableMisra</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraVerbose</name>
- <state>0</state>
- </option>
- <option>
- <name>OGChipSelectEditMenu</name>
- <state/>
- </option>
- <option>
- <name>GenLowLevelInterface</name>
- <state>0</state>
- </option>
- <option>
- <name>GEndianModeBE</name>
- <state>1</state>
- </option>
- <option>
- <name>OGBufferedTerminalOutput</name>
- <state>0</state>
- </option>
- <option>
- <name>GenStdoutInterface</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraRules98</name>
- <version>0</version>
- <state>1000111110110101101110011100111111101110011011000101110111101101100111111111111100110011111001110111001111111111111111111111111</state>
- </option>
- <option>
- <name>GeneralMisraVer</name>
- <state>0</state>
- </option>
- <option>
- <name>GeneralMisraRules04</name>
- <version>0</version>
- <state>111101110010111111111000110111111111111111111111111110010111101111010101111111111111111111111111101111111011111001111011111011111111111111111</state>
- </option>
- <option>
- <name>RTConfigPath2</name>
- <state>$TOOLKIT_DIR$\INC\c\DLib_Config_Normal.h</state>
- </option>
- <option>
- <name>GFPUCoreSlave</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>GBECoreSlave</name>
- <version>20</version>
- <state>39</state>
- </option>
- <option>
- <name>OGUseCmsis</name>
- <state>0</state>
- </option>
- <option>
- <name>OGUseCmsisDspLib</name>
- <state>0</state>
- </option>
- <option>
- <name>GRuntimeLibThreads</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>ICCARM</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>31</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>CCDefines</name>
-
- <state>__NDEBUG</state><state>CPU_IMX7D_M4</state></option>
- <option>
- <name>CCPreprocFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPreprocComments</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPreprocLine</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCMnemonics</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListCMessages</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListAssFile</name>
- <state>0</state>
- </option>
- <option>
- <name>CCListAssSource</name>
- <state>0</state>
- </option>
- <option>
- <name>CCEnableRemarks</name>
- <state>0</state>
- </option>
- <option>
- <name>CCDiagSuppress</name>
- <state>Pa039,Pe068,Pe069,Pa082,Pe177,Pe186,Pe550,Pa050</state>
- </option>
- <option>
- <name>CCDiagRemark</name>
- <state/>
- </option>
- <option>
- <name>CCDiagWarning</name>
- <state/>
- </option>
- <option>
- <name>CCDiagError</name>
- <state/>
- </option>
- <option>
- <name>CCObjPrefix</name>
- <state>1</state>
- </option>
- <option>
- <name>CCAllowList</name>
- <version>1</version>
- <state>00010000</state>
- </option>
- <option>
- <name>CCDebugInfo</name>
- <state>0</state>
- </option>
- <option>
- <name>IEndianMode</name>
- <state>1</state>
- </option>
- <option>
- <name>IProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>IExtraOptionsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>IExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>CCLangConformance</name>
- <state>0</state>
- </option>
- <option>
- <name>CCSignedPlainChar</name>
- <state>1</state>
- </option>
- <option>
- <name>CCRequirePrototypes</name>
- <state>0</state>
- </option>
- <option>
- <name>CCMultibyteSupport</name>
- <state>0</state>
- </option>
- <option>
- <name>CCDiagWarnAreErr</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCompilerRuntimeInfo</name>
- <state>0</state>
- </option>
- <option>
- <name>IFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>OutputFile</name>
- <state>$FILE_BNAME$.o</state>
- </option>
- <option>
- <name>CCLibConfigHeader</name>
- <state>1</state>
- </option>
- <option>
- <name>PreInclude</name>
- <state/>
- </option>
- <option>
- <name>CompilerMisraOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>CCIncludePath2</name>
-
- <state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F</state><state>$PROJ_DIR$/../../../../../../platform/CMSIS/Include</state><state>$PROJ_DIR$/../../../../../../platform/devices</state><state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/include</state><state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup</state><state>$PROJ_DIR$/../../../../../../platform/drivers/inc</state><state>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4</state><state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include</state><state>$PROJ_DIR$/../../../../../../platform/utilities/inc</state><state>$PROJ_DIR$/../../../..</state><state>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp</state></option>
- <option>
- <name>CCStdIncCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>CCCodeSection</name>
- <state>.text</state>
- </option>
- <option>
- <name>IInterwork2</name>
- <state>0</state>
- </option>
- <option>
- <name>IProcessorMode2</name>
- <state>1</state>
- </option>
- <option>
- <name>CCOptLevel</name>
- <state>3</state>
- </option>
- <option>
- <name>CCOptStrategy</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCOptLevelSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CompilerMisraRules98</name>
- <version>0</version>
- <state>1000111110110101101110011100111111101110011011000101110111101101100111111111111100110011111001110111001111111111111111111111111</state>
- </option>
- <option>
- <name>CompilerMisraRules04</name>
- <version>0</version>
- <state>111101110010111111111000110111111111111111111111111110010111101111010101111111111111111111111111101111111011111001111011111011111111111111111</state>
- </option>
- <option>
- <name>CCPosIndRopi</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPosIndRwpi</name>
- <state>0</state>
- </option>
- <option>
- <name>CCPosIndNoDynInit</name>
- <state>0</state>
- </option>
- <option>
- <name>IccLang</name>
- <state>0</state>
- </option>
- <option>
- <name>IccCDialect</name>
- <state>1</state>
- </option>
- <option>
- <name>IccAllowVLA</name>
- <state>0</state>
- </option>
- <option>
- <name>IccCppDialect</name>
- <state>2</state>
- </option>
- <option>
- <name>IccExceptions</name>
- <state>1</state>
- </option>
- <option>
- <name>IccRTTI</name>
- <state>1</state>
- </option>
- <option>
- <name>IccStaticDestr</name>
- <state>1</state>
- </option>
- <option>
- <name>IccCppInlineSemantics</name>
- <state>1</state>
- </option>
- <option>
- <name>IccCmsis</name>
- <state>1</state>
- </option>
- <option>
- <name>IccFloatSemantics</name>
- <state>0</state>
- </option>
- <option>
- <name>CCOptimizationNoSizeConstraints</name>
- <state>0</state>
- </option>
- <option>
- <name>CCNoLiteralPool</name>
- <state>0</state>
- </option>
- <option>
- <name>CCOptStrategySlave</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CCGuardCalls</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>AARM</name>
- <archiveVersion>2</archiveVersion>
- <data>
- <version>9</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>AObjPrefix</name>
- <state>1</state>
- </option>
- <option>
- <name>AEndian</name>
- <state>1</state>
- </option>
- <option>
- <name>ACaseSensitivity</name>
- <state>1</state>
- </option>
- <option>
- <name>MacroChars</name>
- <version>0</version>
- <state>3</state>
- </option>
- <option>
- <name>AWarnEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>AWarnWhat</name>
- <state>0</state>
- </option>
- <option>
- <name>AWarnOne</name>
- <state/>
- </option>
- <option>
- <name>AWarnRange1</name>
- <state/>
- </option>
- <option>
- <name>AWarnRange2</name>
- <state/>
- </option>
- <option>
- <name>ADebug</name>
- <state>0</state>
- </option>
- <option>
- <name>AltRegisterNames</name>
- <state>1</state>
- </option>
- <option>
- <name>ADefines</name>
-
- </option>
- <option>
- <name>AList</name>
- <state>0</state>
- </option>
- <option>
- <name>AListHeader</name>
- <state>1</state>
- </option>
- <option>
- <name>AListing</name>
- <state>1</state>
- </option>
- <option>
- <name>Includes</name>
- <state>0</state>
- </option>
- <option>
- <name>MacDefs</name>
- <state>0</state>
- </option>
- <option>
- <name>MacExps</name>
- <state>1</state>
- </option>
- <option>
- <name>MacExec</name>
- <state>0</state>
- </option>
- <option>
- <name>OnlyAssed</name>
- <state>0</state>
- </option>
- <option>
- <name>MultiLine</name>
- <state>0</state>
- </option>
- <option>
- <name>PageLengthCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>PageLength</name>
- <state>80</state>
- </option>
- <option>
- <name>TabSpacing</name>
- <state>8</state>
- </option>
- <option>
- <name>AXRef</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefDefines</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefInternal</name>
- <state>0</state>
- </option>
- <option>
- <name>AXRefDual</name>
- <state>0</state>
- </option>
- <option>
- <name>AProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>AFpuProcessor</name>
- <state>1</state>
- </option>
- <option>
- <name>AOutputFile</name>
- <state>$FILE_BNAME$.o</state>
- </option>
- <option>
- <name>AMultibyteSupport</name>
- <state>0</state>
- </option>
- <option>
- <name>ALimitErrorsCheck</name>
- <state>0</state>
- </option>
- <option>
- <name>ALimitErrorsEdit</name>
- <state>100</state>
- </option>
- <option>
- <name>AIgnoreStdInclude</name>
- <state>0</state>
- </option>
- <option>
- <name>AUserIncludes</name>
-
- <state>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F</state><state>$PROJ_DIR$/../../../..</state></option>
- <option>
- <name>AExtraOptionsCheckV2</name>
- <state>0</state>
- </option>
- <option>
- <name>AExtraOptionsV2</name>
- <state/>
- </option>
- <option>
- <name>AsmNoLiteralPool</name>
- <state>0</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>OBJCOPY</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>1</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>OOCOutputFormat</name>
- <version>2</version>
- <state>2</state>
- </option>
- <option>
- <name>OCOutputOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>OOCOutputFile</name>
- <state>1</state>
- </option>
- <option>
- <name>OOCCommandLineProducer</name>
- <state>1</state>
- </option>
- <option>
- <name>OOCObjCopyEnable</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>CUSTOM</name>
- <archiveVersion>3</archiveVersion>
- <data>
- <extensions/>
- <cmdline/>
- </data>
- </settings>
- <settings>
- <name>BICOMP</name>
- <archiveVersion>0</archiveVersion>
- <data/>
- </settings>
- <settings>
- <name>BUILDACTION</name>
- <archiveVersion>1</archiveVersion>
- <data>
- <prebuild/>
- <postbuild/>
- </data>
- </settings>
- <settings>
- <name>ILINK</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>16</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>IlinkLibIOConfig</name>
- <state>1</state>
- </option>
- <option>
- <name>XLinkMisraHandler</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkInputFileSlave</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOutputFile</name>
- <state>rpmsg_str_echo_example.out</state>
- </option>
- <option>
- <name>IlinkDebugInfoEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkKeepSymbols</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinaryFile</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinarySymbol</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinarySegment</name>
- <state/>
- </option>
- <option>
- <name>IlinkRawBinaryAlign</name>
- <state/>
- </option>
- <option>
- <name>IlinkDefines</name>
- <state/>
- </option>
- <option>
- <name>IlinkConfigDefines</name>
-
- <state>__stack_size__=0x400</state><state>__heap_size__=0x200</state></option>
- <option>
- <name>IlinkMapFile</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogFile</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogInitialization</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogModule</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogSection</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogVeneer</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkIcfOverride</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkIcfFile</name>
- <state>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/linker/iar/MCIMX7D_M4_tcm.icf</state>
- </option>
- <option>
- <name>IlinkIcfFileSlave</name>
- <state/>
- </option>
- <option>
- <name>IlinkEnableRemarks</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkSuppressDiags</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsRem</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsWarn</name>
- <state/>
- </option>
- <option>
- <name>IlinkTreatAsErr</name>
- <state/>
- </option>
- <option>
- <name>IlinkWarningsAreErrors</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkUseExtraOptions</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkExtraOptions</name>
- <state/>
- </option>
- <option>
- <name>IlinkLowLevelInterfaceSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkAutoLibEnable</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkAdditionalLibs</name>
-
- </option>
- <option>
- <name>IlinkOverrideProgramEntryLabel</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkProgramEntryLabelSelect</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkProgramEntryLabel</name>
- <state>Reset_Handler</state>
- </option>
- <option>
- <name>DoFill</name>
- <state>0</state>
- </option>
- <option>
- <name>FillerByte</name>
- <state>0xFF</state>
- </option>
- <option>
- <name>FillerStart</name>
- <state>0x0</state>
- </option>
- <option>
- <name>FillerEnd</name>
- <state>0x0</state>
- </option>
- <option>
- <name>CrcSize</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>CrcAlign</name>
- <state>1</state>
- </option>
- <option>
- <name>CrcPoly</name>
- <state>0x11021</state>
- </option>
- <option>
- <name>CrcCompl</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CrcBitOrder</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>CrcInitialValue</name>
- <state>0x0</state>
- </option>
- <option>
- <name>DoCrc</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkBE8Slave</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkBufferedTerminalOutput</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkStdoutInterfaceSlave</name>
- <state>1</state>
- </option>
- <option>
- <name>CrcFullSize</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkIElfToolPostProcess</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogAutoLibSelect</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogRedirSymbols</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkLogUnusedFragments</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCrcReverseByteOrder</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCrcUseAsInput</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptInline</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptExceptionsAllow</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptExceptionsForce</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkCmsis</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptMergeDuplSections</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkOptUseVfe</name>
- <state>1</state>
- </option>
- <option>
- <name>IlinkOptForceVfe</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkStackAnalysisEnable</name>
- <state>0</state>
- </option>
- <option>
- <name>IlinkStackControlFile</name>
- <state/>
- </option>
- <option>
- <name>IlinkStackCallGraphFile</name>
- <state/>
- </option>
- <option>
- <name>CrcAlgorithm</name>
- <version>0</version>
- <state>1</state>
- </option>
- <option>
- <name>CrcUnitSize</name>
- <version>0</version>
- <state>0</state>
- </option>
- <option>
- <name>IlinkThreadsSlave</name>
- <state>1</state>
- </option>
- </data>
- </settings>
- <settings>
- <name>IARCHIVE</name>
- <archiveVersion>0</archiveVersion>
- <data>
- <version>0</version>
- <wantNonLocal>1</wantNonLocal>
- <debug>0</debug>
- <option>
- <name>IarchiveInputs</name>
- <state/>
- </option>
- <option>
- <name>IarchiveOverride</name>
- <state>0</state>
- </option>
- <option>
- <name>IarchiveOutput</name>
- <state/>
- </option>
- </data>
- </settings>
- <settings>
- <name>BILINK</name>
- <archiveVersion>0</archiveVersion>
- <data/>
- </settings>
- </configuration>
-
-
-
-
-
-<group><name>freertos</name><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F/port.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F/portasm.s</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/IAR/ARM_CM4F/portmacro.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/portable/MemMang/heap_2.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/croutine.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/event_groups.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/FreeRTOS.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/list.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/mpu_wrappers.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/portable.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/projdefs.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/queue.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/semphr.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/StackMacros.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/task.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/include/timers.h</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/croutine.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/event_groups.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/list.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/queue.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/tasks.c</name></file><file><name>$PROJ_DIR$/../../../../../../rtos/FreeRTOS/Source/timers.c</name></file></group><group><name>startup</name><file><name>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup/iar/startup_MCIMX7D_M4.s</name></file><file><name>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h</name></file></group><group><name>rpmsg</name><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/plat_porting.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/hil/hil.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/llist/llist.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/config/config.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/env/env.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtio.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtio_ring.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.h</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/hil/hil.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/llist/llist.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/config/config.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/porting/env/freertos_env.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/remote_device.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtio.c</name></file><file><name>$PROJ_DIR$/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.c</name></file></group><group><name>system</name><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/ccm_analog_imx7d.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/ccm_imx7d.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/rdc.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/rdc_defs_imx7d.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/wdog_imx.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/ccm_analog_imx7d.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/ccm_imx7d.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/rdc.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/wdog_imx.c</name></file></group><group><name>utilities</name><file><name>$PROJ_DIR$/../../../../../../platform/utilities/src/debug_console_imx.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/utilities/inc/debug_console_imx.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/utilities/src/print_scan.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/utilities/src/print_scan.h</name></file></group><group><name>board</name><file><name>$PROJ_DIR$/../../../../pin_mux.c</name></file><file><name>$PROJ_DIR$/../../../../pin_mux.h</name></file><file><name>$PROJ_DIR$/../../../../board.c</name></file><file><name>$PROJ_DIR$/../../../../board.h</name></file><file><name>$PROJ_DIR$/../../../../clock_freq.c</name></file><file><name>$PROJ_DIR$/../../../../clock_freq.h</name></file><file><name>$PROJ_DIR$/../hardware_init.c</name></file></group><group><name>source</name><file><name>$PROJ_DIR$/../str_echo.c</name></file></group><group><name>driver</name><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/uart_imx.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/uart_imx.h</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/src/mu_imx.c</name></file><file><name>$PROJ_DIR$/../../../../../../platform/drivers/inc/mu_imx.h</name></file></group></project>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.eww b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.eww
deleted file mode 100644
index 16d90b2..0000000
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/iar/rpmsg_str_echo_example.eww
+++ /dev/null
@@ -1,4 +0,0 @@
-<?xml version="1.0" encoding="iso-8859-1"?>
-<workspace>
-<batchBuild><batchDefinition><name>all</name><member><project>rpmsg_str_echo_example</project><configuration>Release</configuration></member><member><project>rpmsg_str_echo_example</project><configuration>Debug</configuration></member></batchDefinition><batchDefinition><name>Release</name><member><project>rpmsg_str_echo_example</project><configuration>Release</configuration></member></batchDefinition><batchDefinition><name>Debug</name><member><project>rpmsg_str_echo_example</project><configuration>Debug</configuration></member></batchDefinition></batchBuild>
-<project><path>$WS_DIR$/rpmsg_str_echo_example.ewp</path></project></workspace>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/CMakeLists.txt b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/CMakeLists.txt
new file mode 100644
index 0000000..eae2fa5
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/CMakeLists.txt
@@ -0,0 +1,172 @@
+INCLUDE(CMakeForceCompiler)
+
+# CROSS COMPILER SETTING
+SET(CMAKE_SYSTEM_NAME Generic)
+CMAKE_MINIMUM_REQUIRED (VERSION 2.6)
+
+# THE VERSION NUMBER
+SET (Tutorial_VERSION_MAJOR 1)
+SET (Tutorial_VERSION_MINOR 0)
+
+# ENABLE ASM
+ENABLE_LANGUAGE(ASM)
+
+SET(CMAKE_STATIC_LIBRARY_PREFIX)
+SET(CMAKE_STATIC_LIBRARY_SUFFIX)
+
+SET(CMAKE_EXECUTABLE_LIBRARY_PREFIX)
+SET(CMAKE_EXECUTABLE_LIBRARY_SUFFIX)
+
+
+# CURRENT DIRECTORY
+SET(ProjDirPath ${CMAKE_CURRENT_SOURCE_DIR})
+
+# DEBUG LINK FILE
+set(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -T${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/gcc/MCIMX7D_M4_tcm.ld -static")
+
+# RELEASE LINK FILE
+set(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -T${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/gcc/MCIMX7D_M4_tcm.ld -static")
+
+# DEBUG ASM FLAGS
+SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
+
+# DEBUG C FLAGS
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -g -O0 -fno-strict-aliasing -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
+
+# DEBUG LD FLAGS
+SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs -Xlinker --defsym=__stack_size__=0x400 -Xlinker --defsym=__heap_size__=0x4000")
+
+# RELEASE ASM FLAGS
+SET(CMAKE_ASM_FLAGS_RELEASE "${CMAKE_ASM_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
+
+# RELEASE C FLAGS
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -Os -fno-strict-aliasing -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
+
+# RELEASE LD FLAGS
+SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs -Xlinker --defsym=__stack_size__=0x400 -Xlinker --defsym=__heap_size__=0x4000")
+
+# ASM MACRO
+SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -D__DEBUG")
+
+# C MACRO
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -D__DEBUG")
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -DCPU_MCIMX7D_M4")
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -D__NDEBUG")
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -DCPU_MCIMX7D_M4")
+
+# CXX MACRO
+
+# INCLUDE_DIRECTORIES
+IF(CMAKE_BUILD_TYPE MATCHES Debug)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/CMSIS/Include)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/drivers/inc)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/utilities/inc)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm)
+ELSEIF(CMAKE_BUILD_TYPE MATCHES Release)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/CMSIS/Include)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/drivers/inc)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/utilities/inc)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm)
+ENDIF()
+
+# ADD_EXECUTABLE
+ADD_EXECUTABLE(rpmsg_str_echo_bm_example
+ "${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup/gcc/startup_MCIMX7D_M4.S"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/rpmsg_platform_porting.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_ext.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/hil/hil.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/llist/llist.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/config/config.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/env.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio_ring.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_rtos.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm/rpmsg_porting.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/ccm_analog_imx7d.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/ccm_imx7d.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/lmem.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/rdc.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/rdc_defs_imx7d.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/wdog_imx.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/hil/hil.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/llist/llist.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/config/config.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/remote_device.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_ext.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm/rpmsg_porting.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/ccm_analog_imx7d.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/ccm_imx7d.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/lmem.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/rdc.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/wdog_imx.c"
+ "${ProjDirPath}/../../../../../../platform/utilities/src/debug_console_imx.c"
+ "${ProjDirPath}/../../../../../../platform/utilities/inc/debug_console_imx.h"
+ "${ProjDirPath}/../../../../../../platform/utilities/src/print_scan.c"
+ "${ProjDirPath}/../../../../../../platform/utilities/src/print_scan.h"
+ "${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c"
+ "${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h"
+ "${ProjDirPath}/../../../../pin_mux.c"
+ "${ProjDirPath}/../../../../pin_mux.h"
+ "${ProjDirPath}/../../../../board.c"
+ "${ProjDirPath}/../../../../board.h"
+ "${ProjDirPath}/../../../../clock_freq.c"
+ "${ProjDirPath}/../../../../clock_freq.h"
+ "${ProjDirPath}/../hardware_init.c"
+ "${ProjDirPath}/../str_echo_bm.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/uart_imx.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/uart_imx.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/mu_imx.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/mu_imx.h"
+)
+SET_TARGET_PROPERTIES(rpmsg_str_echo_bm_example PROPERTIES OUTPUT_NAME "rpmsg_str_echo_bm_example.elf")
+
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_bm_example -Wl,--start-group)
+# LIBRARIES
+IF(CMAKE_BUILD_TYPE MATCHES Debug)
+ELSEIF(CMAKE_BUILD_TYPE MATCHES Release)
+ENDIF()
+
+# SYSTEM LIBRARIES
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_bm_example m)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_bm_example c)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_bm_example gcc)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_bm_example nosys)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_bm_example -Wl,--end-group)
+
+# MAP FILE
+SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker -Map=debug/rpmsg_str_echo_bm_example.map")
+SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -Xlinker -Map=release/rpmsg_str_echo_bm_example.map")
+
+# BIN AND HEX
+ADD_CUSTOM_COMMAND(TARGET rpmsg_str_echo_bm_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Oihex ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_bm_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_bm_example.hex)
+ADD_CUSTOM_COMMAND(TARGET rpmsg_str_echo_bm_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Obinary ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_bm_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_bm_example.bin)
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_all.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_all.bat
new file mode 100644
index 0000000..0cf721f
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_all.bat
@@ -0,0 +1,5 @@
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=Debug .
+mingw32-make -j4
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=Release .
+mingw32-make -j4
+pause
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_all.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_all.sh
new file mode 100755
index 0000000..3827529
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_all.sh
@@ -0,0 +1,5 @@
+#!/bin/sh
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Debug .
+make -j4
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Release .
+make -j4
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_debug.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_debug.bat
new file mode 100644
index 0000000..e9ccfdd
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_debug.bat
@@ -0,0 +1,3 @@
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=Debug .
+mingw32-make -j4
+pause
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_debug.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_debug.sh
new file mode 100755
index 0000000..effd076
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_debug.sh
@@ -0,0 +1,3 @@
+#!/bin/sh
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Debug .
+make -j4
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_release.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_release.bat
new file mode 100644
index 0000000..0759349
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_release.bat
@@ -0,0 +1,3 @@
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=Release .
+mingw32-make -j4
+pause
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_release.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_release.sh
new file mode 100755
index 0000000..a12067d
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/build_release.sh
@@ -0,0 +1,3 @@
+#!/bin/sh
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Release .
+make -j4
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/clean.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/clean.bat
new file mode 100644
index 0000000..ffea088
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/clean.bat
@@ -0,0 +1,3 @@
+RD /s /Q Debug Release CMakeFiles
+DEL /s /Q /F Makefile cmake_install.cmake CMakeCache.txt
+pause
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/clean.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/clean.sh
new file mode 100755
index 0000000..795ad87
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/armgcc/clean.sh
@@ -0,0 +1,3 @@
+#!/bin/sh
+rm -rf debug release CMakeFiles
+rm -rf Makefile cmake_install.cmake CMakeCache.txt
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/.cproject b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/ds5/.cproject
index 97441ea..f2a7733 100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/ds5/.cproject
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/ds5/.cproject
@@ -3,21 +3,21 @@
<cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
<storageModule moduleId="org.eclipse.cdt.core.settings">
<cconfiguration id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623">
- <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" moduleId="org.eclipse.cdt.core.settings" name="Int Ram Debug">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" moduleId="org.eclipse.cdt.core.settings" name="debug">
<externalSettings/>
<extensions>
<extension id="com.arm.eclipse.builder.armcc.error" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
- <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" name="Int Ram Debug" parent="com.arm.eclipse.build.config.baremetal.exe.debug">
+ <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" name="debug" parent="com.arm.eclipse.build.config.baremetal.exe.debug" postbuildStep="fromelf --bincombined --output=${ProjName}.bin ${ProjName}.axf">
<folderInfo id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623." name="/" resourcePath="">
<toolChain errorParsers="com.arm.eclipse.builder.armcc.error" id="com.arm.toolchain.baremetal.exe.debug.505048968" name="ARM Compiler" nonInternalBuilderId="com.arm.toolchain.baremetal.builder" superClass="com.arm.toolchain.baremetal.exe.debug">
<targetPlatform binaryParser="" id="com.arm.toolchain.baremetal.exe.debug.505048968.350348883" name=""/>
- <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/Int Ram Debug}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.38020294" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
+ <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/debug}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.38020294" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
<tool command="armcc" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.c.compiler.baremetal.exe.debug.1725848509" name="ARM C Compiler" superClass="com.arm.tool.c.compiler.baremetal.exe.debug">
- <option id="com.arm.tool.c.compiler.option.incpath.349366493" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/></option>
- <option id="com.arm.tool.c.compiler.option.defmac.1627388367" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__DEBUG"/><listOptionValue builtIn="false" value="CPU_IMX7D_M4"/></option>
+ <option id="com.arm.tool.c.compiler.option.incpath.349366493" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/><listOptionValue builtIn="false" value="${ProjDirPath}/.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm"/></option>
+ <option id="com.arm.tool.c.compiler.option.defmac.1627388367" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__DEBUG"/><listOptionValue builtIn="false" value="CPU_MCIMX7D_M4"/></option>
<option id="com.arm.tool.c.compiler.option.targetcpu.1309268616" name="Target CPU (--cpu)" superClass="com.arm.tool.c.compiler.option.targetcpu" value="Cortex-M4" valueType="string"/>
<option id="com.arm.tool.c.compiler.option.targetfpu.1098489790" name="Target FPU (--fpu)" superClass="com.arm.tool.c.compiler.option.targetfpu" value="FPv4-SP" valueType="string"/>
<option id="com.arm.tool.c.compiler.option.fpmode.1539082142" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.c.compiler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
@@ -28,7 +28,7 @@
<option id="com.arm.tool.c.compiler.option.endian.2486374826" name="Byte order" superClass="com.arm.tool.c.compiler.option.endian" value="com.arm.tool.c.compiler.option.endian.auto" valueType="enumerated"/>
<inputType id="com.arm.tool.c.compiler.input.1814530651" superClass="com.arm.tool.c.compiler.input"/>
<inputType id="com.arm.tool.cpp.compiler.input.988841684" superClass="com.arm.tool.cpp.compiler.input"/>
- <option id="com.arm.tool.c.compiler.option.gnu.8472306225" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.charsize.6108584403" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.option.optfor.9655990449" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.6330399430" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.8130337602" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.option.suppress.1452698739" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.6346303559" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.9316469512" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.inter.4270853979" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.272557163" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.8553559212" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.7204656583" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.7371747500" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.1154037031" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.8053645759" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
+ <option id="com.arm.tool.c.compiler.option.gnu.7340854374" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.charsize.1302650399" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt.6848863091" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt" valueType="enumerated" value="com.arm.tool.c.compiler.option.optlevel.min"/><option id="com.arm.tool.c.compiler.option.optfor.8733956798" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.9627720972" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.7010981038" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.option.suppress.2335407991" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.980532303" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.2843451743" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.inter.2115190040" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.9189294178" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.337811386" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.921602362" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.4456584588" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.2103262290" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.347782973" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
<tool id="com.arm.tool.cpp.compiler.baremetal.exe.debug.1769458477" name="ARM C++ Compiler" superClass="com.arm.tool.cpp.compiler.baremetal.exe.debug">
<option id="com.arm.tool.c.compiler.option.flags.125692915" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
</tool>
@@ -37,12 +37,12 @@
<option id="com.arm.tool.assembler.option.fpu.560570852" name="Target FPU (--fpu)" superClass="com.arm.tool.assembler.option.fpu" value="FPv4-SP" valueType="string"/>
<option id="com.arm.tool.assembler.option.fpmode.2114797651" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.assembler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
<option id="com.arm.tool.assembler.option.fppcs.2043711002" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.assembler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
- <option id="com.arm.tool.assembler.option.flags.2068342579" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; " valueType="string"/>
+ <option id="com.arm.tool.assembler.option.flags.2068342579" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; -I&quot;${ProjDirPath}/..&quot; " valueType="string"/>
<option id="com.arm.tool.assembler.option.preproc.664063762" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="true" valueType="boolean"/>
- <option id="com.arm.tool.assembler.option.preprocflags.6906523215" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value="-D__DEBUG"/><option id="com.arm.tool.assembler.option.unalign.3070890504" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.5655114552" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.3717733125" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.8822508179" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.6610287646" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.937663643" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
+ <option id="com.arm.tool.assembler.option.preprocflags.7112208281" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value="-D__DEBUG"/><option id="com.arm.tool.assembler.option.unalign.1912412637" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.6973781114" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.9150083817" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.9353863717" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.7713451063" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.2460434864" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
<tool command="armlink" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.c.linker.1088675316" name="ARM Linker" superClass="com.arm.tool.c.linker">
<option id="com.arm.tool.c.linker.option.cpu.267638742" name="Target CPU (--cpu)" superClass="com.arm.tool.c.linker.option.cpu" value="Cortex-M4" valueType="string"/>
- <option id="com.arm.tool.c.linker.option.scatter.1868789905" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/arm/MCIMX7D_M4_tcm.scf" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.scatter.1868789905" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../common/linker/arm/MCIMX7D_M4_tcm_rpmsg.scf" valueType="string"/>
<option id="com.arm.tool.c.linker.option.libs.1325797835" name="Libraries (--library)" superClass="com.arm.tool.c.linker.option.libs"/>
<option id="com.arm.tool.c.linker.option.libsearch.1542315655" name="Library search path (--userlibpath)" superClass="com.arm.tool.c.linker.option.libsearch"/>
<option id="com.arm.tool.c.linker.libs.491659161" name="Other library files" superClass="com.arm.tool.c.linker.libs"/>
@@ -54,7 +54,7 @@
<additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
<additionalInput kind="additionalinput" paths="$(LIBS)"/>
</inputType>
- <option id="com.arm.tool.c.linker.option.fpu.4738903162" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.7520062564" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.9217638468" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.8255202528" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.1537121417" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.7277211101" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.3720177178" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
+ <option id="com.arm.tool.c.linker.option.fpu.749124557" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.7182205454" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.5929011836" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.7334740893" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.3686020799" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.4306191584" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.6329356556" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
<tool id="com.arm.tool.librarian.109887334" name="ARM Librarian" superClass="com.arm.tool.librarian"/>
</toolChain>
</folderInfo>
@@ -63,21 +63,21 @@
<storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
</cconfiguration>
<cconfiguration id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666">
- <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" moduleId="org.eclipse.cdt.core.settings" name="Int Ram Release">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" moduleId="org.eclipse.cdt.core.settings" name="release">
<externalSettings/>
<extensions>
<extension id="com.arm.eclipse.builder.armcc.error" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
- <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" name="Int Ram Release" parent="com.arm.eclipse.build.config.baremetal.exe.debug">
+ <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" name="release" parent="com.arm.eclipse.build.config.baremetal.exe.debug" postbuildStep="fromelf --bincombined --output=${ProjName}.bin ${ProjName}.axf">
<folderInfo id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666." name="/" resourcePath="">
<toolChain id="com.arm.toolchain.baremetal.exe.debug.1871020344" name="ARM Compiler" nonInternalBuilderId="com.arm.toolchain.baremetal.builder" superClass="com.arm.toolchain.baremetal.exe.debug">
<targetPlatform id="com.arm.toolchain.baremetal.exe.debug.1871020344.229212655" name=""/>
- <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/Int Ram Release}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.1172218807" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
+ <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/release}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.1172218807" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
<tool id="com.arm.tool.c.compiler.baremetal.exe.debug.724686906" name="ARM C Compiler" superClass="com.arm.tool.c.compiler.baremetal.exe.debug">
- <option id="com.arm.tool.c.compiler.option.incpath.2126170575" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/></option>
- <option id="com.arm.tool.c.compiler.option.defmac.741292309" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__NDEBUG"/><listOptionValue builtIn="false" value="CPU_IMX7D_M4"/></option>
+ <option id="com.arm.tool.c.compiler.option.incpath.2126170575" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/><listOptionValue builtIn="false" value="${ProjDirPath}/.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/bm"/></option>
+ <option id="com.arm.tool.c.compiler.option.defmac.741292309" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__NDEBUG"/><listOptionValue builtIn="false" value="CPU_MCIMX7D_M4"/></option>
<option id="com.arm.tool.c.compiler.option.targetcpu.499083253" name="Target CPU (--cpu)" superClass="com.arm.tool.c.compiler.option.targetcpu" value="Cortex-M4" valueType="string"/>
<option id="com.arm.tool.c.compiler.option.targetfpu.747092604" name="Target FPU (--fpu)" superClass="com.arm.tool.c.compiler.option.targetfpu" value="FPv4-SP" valueType="string"/>
<option id="com.arm.tool.c.compiler.option.fpmode.885590841" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.c.compiler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
@@ -86,7 +86,7 @@
<option id="com.arm.tool.c.compiler.option.flags.1769123778" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
<inputType id="com.arm.tool.c.compiler.input.1270990193" superClass="com.arm.tool.c.compiler.input"/>
<inputType id="com.arm.tool.cpp.compiler.input.840085126" superClass="com.arm.tool.cpp.compiler.input"/>
- <option id="com.arm.tool.c.compiler.option.gnu.2489658778" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.charsize.1928546655" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.option.optfor.4365640279" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.987701947" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.8827845371" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.options.debug.enabled.430859272" superClass="com.arm.tool.c.compiler.options.debug.enabled" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.suppress.9086255681" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.6505665600" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.566209477" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.endian.9684307255" superClass="com.arm.tool.c.compiler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.auto"/><option id="com.arm.tool.c.compiler.option.inter.1424006957" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.2500849417" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.1633658800" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.4826717315" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.9357881905" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.2214044732" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.5926983972" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
+ <option id="com.arm.tool.c.compiler.option.gnu.5303062482" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.charsize.3783691273" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt.1209836120" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt" valueType="enumerated" value="com.arm.tool.c.compiler.option.optlevel.max"/><option id="com.arm.tool.c.compiler.option.optfor.6383481598" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.1747650775" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.2418548617" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.options.debug.enabled.2167834747" superClass="com.arm.tool.c.compiler.options.debug.enabled" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.suppress.8253722520" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.8217480186" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.8108219937" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.endian.9089670362" superClass="com.arm.tool.c.compiler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.auto"/><option id="com.arm.tool.c.compiler.option.inter.6053490056" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.3278421660" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.8663222237" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.7721969374" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.1084538546" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.509373654" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.4927303696" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
<tool id="com.arm.tool.cpp.compiler.baremetal.exe.debug.1278927352" name="ARM C++ Compiler" superClass="com.arm.tool.cpp.compiler.baremetal.exe.debug">
<option id="com.arm.tool.c.compiler.option.flags.191883218" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
</tool>
@@ -95,12 +95,12 @@
<option id="com.arm.tool.assembler.option.fpu.1669042968" name="Target FPU (--fpu)" superClass="com.arm.tool.assembler.option.fpu" value="FPv4-SP" valueType="string"/>
<option id="com.arm.tool.assembler.option.fpmode.150044025" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.assembler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
<option id="com.arm.tool.assembler.option.fppcs.1033303317" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.assembler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
- <option id="com.arm.tool.assembler.option.flags.1985199179" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; " valueType="string"/>
+ <option id="com.arm.tool.assembler.option.flags.1985199179" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; -I&quot;${ProjDirPath}/..&quot; " valueType="string"/>
<option id="com.arm.tool.assembler.option.preproc.664063763" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="false" valueType="boolean"/>
- <option id="com.arm.tool.assembler.option.preprocflags.3069559721" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value=""/><option id="com.arm.tool.assembler.option.unalign.1630237836" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.4476773627" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.1977125299" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.147998646" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.369993949" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.4990707291" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
+ <option id="com.arm.tool.assembler.option.preprocflags.6528922270" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value=""/><option id="com.arm.tool.assembler.option.unalign.4992064904" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.8489251530" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.3333242911" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.3614803551" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.1505542392" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.1817700560" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
<tool id="com.arm.tool.c.linker.1301355868" name="ARM Linker" superClass="com.arm.tool.c.linker">
<option id="com.arm.tool.c.linker.option.cpu.522518248" name="Target CPU (--cpu)" superClass="com.arm.tool.c.linker.option.cpu" value="Cortex-M4" valueType="string"/>
- <option id="com.arm.tool.c.linker.option.scatter.208008498" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/arm/MCIMX7D_M4_tcm.scf" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.scatter.208008498" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../common/linker/arm/MCIMX7D_M4_tcm_rpmsg.scf" valueType="string"/>
<option id="com.arm.tool.c.linker.option.libs.1119897339" name="Libraries (--library)" superClass="com.arm.tool.c.linker.option.libs"/>
<option id="com.arm.tool.c.linker.option.libsearch.226638094" name="Library search path (--userlibpath)" superClass="com.arm.tool.c.linker.option.libsearch"/>
<option id="com.arm.tool.c.linker.libs.962860959" name="Other library files" superClass="com.arm.tool.c.linker.libs"/>
@@ -112,7 +112,7 @@
<additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
<additionalInput kind="additionalinput" paths="$(LIBS)"/>
</inputType>
- <option id="com.arm.tool.c.linker.option.fpu.9348680472" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.804458915" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.6685257127" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.9852377340" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.1204757963" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.9342479335" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.6833644487" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
+ <option id="com.arm.tool.c.linker.option.fpu.6684952490" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.5439782865" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.5762470056" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.5191249097" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.5966503515" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.6740836389" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.1107780074" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
<tool id="com.arm.tool.librarian.2006874949" name="ARM Librarian" superClass="com.arm.tool.librarian"/>
</toolChain>
</folderInfo>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/ds5/.project b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/ds5/.project
new file mode 100644
index 0000000..e210c84
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/ds5/.project
@@ -0,0 +1,86 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<projectDescription>
+ <name>rpmsg_str_echo_bm_example_imx7d_sdb_m4</name>
+ <comment/>
+ <projects>
+ </projects>
+ <buildSpec>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.genmakebuilder</name>
+ <triggers>clean,full,incremental,</triggers>
+ <arguments>
+ <dictionary>
+ <key>?name?</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.append_environment</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.autoBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildArguments</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildCommand</key>
+ <value>make</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildLocation</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.cleanBuildTarget</key>
+ <value>clean</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.contents</key>
+ <value>org.eclipse.cdt.make.core.activeConfigSettings</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableAutoBuild</key>
+ <value>false</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableCleanBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableFullBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.fullBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.stopOnError</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.useDefaultBuildCmd</key>
+ <value>true</value>
+ </dictionary>
+ </arguments>
+ </buildCommand>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder</name>
+ <triggers>full,incremental,</triggers>
+ <arguments>
+ </arguments>
+ </buildCommand>
+ </buildSpec>
+ <natures>
+ <nature>org.eclipse.cdt.core.cnature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.managedBuildNature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.ScannerConfigNature</nature>
+ </natures>
+ <linkedResources>
+ <link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/startup_MCIMX7D_M4.s</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/arm/startup_MCIMX7D_M4.s</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_platform_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/rpmsg_platform_porting.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_ext.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_ext.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/env.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/env.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio_ring.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio_ring.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_rtos.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_rtos.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/bm/rpmsg_porting.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_analog_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/lmem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/lmem.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc_defs_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc_defs_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/wdog_imx.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform_info.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/remote_device.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/remote_device.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_ext.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_ext.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_porting.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/bm/rpmsg_porting.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_analog_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/lmem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/lmem.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/rdc.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/wdog_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/debug_console_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/inc/debug_console_imx.h</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/hardware_init.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/hardware_init.c</locationURI></link><link><name>source</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>source/str_echo_bm.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/str_echo_bm.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/uart_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/uart_imx.h</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/mu_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/mu_imx.h</locationURI></link></linkedResources>
+ <variableList>
+ </variableList>
+</projectDescription>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/hardware_init.c b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/hardware_init.c
new file mode 100644
index 0000000..aa69475
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/hardware_init.c
@@ -0,0 +1,54 @@
+/*
+ * Copyright (c) 2015, Freescale Semiconductor, Inc.
+ * All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without modification,
+ * are permitted provided that the following conditions are met:
+ *
+ * o Redistributions of source code must retain the above copyright notice, this list
+ * of conditions and the following disclaimer.
+ *
+ * o Redistributions in binary form must reproduce the above copyright notice, this
+ * list of conditions and the following disclaimer in the documentation and/or
+ * other materials provided with the distribution.
+ *
+ * o Neither the name of Freescale Semiconductor, Inc. nor the names of its
+ * contributors may be used to endorse or promote products derived from this
+ * software without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+ * WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+ * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR
+ * ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+ * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+ * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
+ * ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+ */
+
+#include "board.h"
+#include "pin_mux.h"
+
+void hardware_init(void)
+{
+ /* Board specific RDC settings */
+ BOARD_RdcInit();
+
+ /* Board specific clock settings */
+ BOARD_ClockInit();
+
+ /* initialize debug uart */
+ dbg_uart_init();
+
+ /* RDC MU*/
+ RDC_SetPdapAccess(RDC, BOARD_MU_RDC_PDAP, 3 << (BOARD_DOMAIN_ID * 2), false, false);
+
+ /* Enable clock gate for MU*/
+ CCM_ControlGate(CCM, BOARD_MU_CCM_CCGR, ccmClockNeededRun);
+}
+
+/*******************************************************************************
+ * EOF
+ ******************************************************************************/
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/str_echo.c b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/str_echo_bm.c
index 7a778fc..c96d505 100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/str_echo.c
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_bm/str_echo_bm.c
@@ -28,24 +28,18 @@
* SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "FreeRTOS.h"
-#include "task.h"
-#include "semphr.h"
+#include "rpmsg/rpmsg_ext.h"
#include "string.h"
#include "assert.h"
#include "board.h"
-#include "rpmsg/rpmsg.h"
-#include "debug_console_imx.h"
#include "mu_imx.h"
-#include "plat_porting.h"
+#include "debug_console_imx.h"
/*
* APP decided interrupt priority
*/
#define APP_MU_IRQ_PRIORITY 3
-#define MAX_STRING_SIZE 496 /* Maximum size to hold the data A7 gives */
-
/*
* For the most worst case, master will send 3 consecutive messages which remote
* do not process.
@@ -59,75 +53,75 @@
* and notify again, master can continue to send the 3rd message but will blocks
* when trying to notify. Meanwhile, remote side is still in the first ISR which
* has a loop to receive all the 3 messages.
- * Master is blocked and can not send the 4th message, remote side ISR copies all
- * this 3 message to app buffer and informs the app layer to consume them. After
- * a message is consumed, the ISR is enabled again and the second notify is received.
- * This unblocks the master to complete the 3rd notify and send the next message.
- * The 4th notify will not complete until remote consumes the second message.
+ * Master is blocked and can not send the 4th message, remote side ISR stores all
+ * this 3 messages to app buffer and informs the app layer to consume them. After
+ * 3 messages are consumed, the ISR is enabled again and the second notify is received.
+ * This unblocks the master to complete the 3rd notify and send the 4th message.
* The situation goes on and we can see application layer need a maximum size 3
* buffer to hold the unconsumed messages. STRING_BUFFER_CNT is therefore set to 3
*/
#define STRING_BUFFER_CNT 3
-/* Internal functions */
-static void rpmsg_channel_created(struct rpmsg_channel *rp_chnl);
-static void rpmsg_channel_deleted(struct rpmsg_channel *rp_chnl);
-static void rpmsg_read_cb(struct rpmsg_channel *, void *, int, void *, unsigned long);
+typedef struct
+{
+ unsigned long src;
+ void* data;
+ int len;
+} app_message_t;
/* Globals */
-static struct remote_device *rdev;
-static struct rpmsg_channel *app_chnl;
-static char strVar[STRING_BUFFER_CNT][MAX_STRING_SIZE + 1];
+static struct rpmsg_channel *app_chnl = NULL;
+static app_message_t app_msg[STRING_BUFFER_CNT];
+static char app_buf[512]; /* Each RPMSG buffer can carry less than 512 payload */
static uint8_t app_idx = 0;
static uint8_t handler_idx = 0;
-static SemaphoreHandle_t app_sema;
+static volatile int32_t msg_count = 0;
-/*!
- * @brief A basic RPMSG task
- */
-void StrEchoTask(void *pvParameters)
+static void rpmsg_enable_rx_int(bool enable)
{
- PRINTF("RPMSG String Echo Demo...\r\n");
-
- app_sema = xSemaphoreCreateCounting(STRING_BUFFER_CNT + 1, 0);
+ if (enable)
+ {
+ if ((--msg_count) == 0)
+ MU_EnableRxFullInt(MUB, RPMSG_MU_CHANNEL);
+ }
+ else
+ {
+ if ((msg_count++) == 0)
+ MU_DisableRxFullInt(MUB, RPMSG_MU_CHANNEL);
+ }
+}
- PRINTF("RPMSG Init as Remote\r\n");
+static void rpmsg_read_cb(struct rpmsg_channel *rp_chnl, void *data, int len,
+ void * priv, unsigned long src)
+{
/*
- * RPMSG Init as REMOTE
+ * Temperorily Disable MU Receive Interrupt to avoid master
+ * sending too many messages and remote will fail to keep pace
+ * to consume (flow control)
*/
- rpmsg_init(0, &rdev, rpmsg_channel_created, rpmsg_channel_deleted, rpmsg_read_cb, RPMSG_MASTER);
+ rpmsg_enable_rx_int(false);
- /*
- * rpmsg_channel_created will post the first semaphore
- */
- xSemaphoreTake(app_sema, portMAX_DELAY);
- PRINTF("Name service handshake is done, M4 has setup a rpmsg channel [%d ---> %d]\r\n", app_chnl->src, app_chnl->dst);
+ /* Hold the RPMsg rx buffer to be used in main loop */
+ rpmsg_hold_rx_buffer(rp_chnl, data);
+ app_msg[handler_idx].src = src;
+ app_msg[handler_idx].data = data;
+ app_msg[handler_idx].len = len;
+ /* Move to next free message index */
+ handler_idx = (handler_idx + 1) % STRING_BUFFER_CNT;
+}
- /*
- * pingpong demo loop
- */
- for (;;) {
- xSemaphoreTake(app_sema, portMAX_DELAY);
- /*
- * Take from next app string buffer
- */
- if ((strlen(strVar[app_idx]) == 2) && (strVar[app_idx][0] == 0xd) && (strVar[app_idx][1] == 0xa))
- PRINTF("Get New Line From A7 From Slot %d\r\n", app_idx);
- else
- PRINTF("Get Message From A7 : \"%s\" [len : %d] from slot %d\r\n", strVar[app_idx], strlen(strVar[app_idx]), app_idx);
+/* rpmsg_rx_callback will call into this for a channel creation event*/
+static void rpmsg_channel_created(struct rpmsg_channel *rp_chnl)
+{
+ /* We should give the created rp_chnl handler to app layer */
+ app_chnl = rp_chnl;
- /*
- * echo back
- */
- rpmsg_send(app_chnl, (void*)strVar[app_idx], strlen(strVar[app_idx]));
- app_idx = (app_idx + 1) % STRING_BUFFER_CNT;
- /*
- * once a message is consumed, the MU receive interrupt can be enabled
- * again
- */
- MU_EnableRxFullInt(MU0_B, MU_RPMSG_CHANNEL);
- }
+ PRINTF("Name service handshake is done, M4 has setup a rpmsg channel [%d ---> %d]\r\n", app_chnl->src, app_chnl->dst);
+}
+
+static void rpmsg_channel_deleted(struct rpmsg_channel *rp_chnl)
+{
}
/*
@@ -141,8 +135,16 @@ void BOARD_MU_HANDLER(void)
rpmsg_handler();
}
+/*!
+ * @brief Main function
+ */
int main(void)
{
+ struct remote_device *rdev;
+ int len;
+ void *tx_buf;
+ unsigned long size;
+
hardware_init();
/*
@@ -153,57 +155,51 @@ int main(void)
NVIC_SetPriority(BOARD_MU_IRQ_NUM, APP_MU_IRQ_PRIORITY);
NVIC_EnableIRQ(BOARD_MU_IRQ_NUM);
- // Create a demo task which will print Hello world and echo user's input.
- xTaskCreate(StrEchoTask, "String Echo Task", configMINIMAL_STACK_SIZE,
- NULL, tskIDLE_PRIORITY+1, NULL);
+ /* Print the initial banner */
+ PRINTF("\r\nRPMSG String Echo Bare Metal Demo...\r\n");
- // Start FreeRTOS scheduler.
- vTaskStartScheduler();
-
- // Should never reach this point.
- while (true);
-}
-
-/* rpmsg_rx_callback will call into this for a channel creation event*/
-static void rpmsg_channel_created(struct rpmsg_channel *rp_chnl)
-{
- /*
- * we should give the created rp_chnl handler to app layer
- */
- app_chnl = rp_chnl;
+ /* RPMSG Init as REMOTE */
+ PRINTF("RPMSG Init as Remote\r\n");
+ rpmsg_init(0, &rdev, rpmsg_channel_created, rpmsg_channel_deleted, rpmsg_read_cb, RPMSG_MASTER);
/*
- * sync to application layer
+ * str_echo demo loop
*/
- xSemaphoreGiveFromISR(app_sema, NULL);
-}
+ for (;;)
+ {
+ /* Wait message to be available */
+ while (msg_count == 0)
+ {
+ }
+
+ /* Copy string from RPMsg rx buffer */
+ len = app_msg[app_idx].len;
+ assert(len < sizeof(app_buf));
+ memcpy(app_buf, app_msg[app_idx].data, len);
+ app_buf[len] = 0; /* End string by '\0' */
+
+ if ((len == 2) && (app_buf[0] == 0xd) && (app_buf[1] == 0xa))
+ PRINTF("Get New Line From Master Side From Slot %d\r\n", app_idx);
+ else
+ PRINTF("Get Message From Master Side : \"%s\" [len : %d] from slot %d\r\n", app_buf, len, app_idx);
+
+ /* Get tx buffer from RPMsg */
+ tx_buf = rpmsg_alloc_tx_buffer(app_chnl, &size, RPMSG_TRUE);
+ assert(tx_buf);
+ /* Copy string to RPMsg tx buffer */
+ memcpy(tx_buf, app_buf, len);
+ /* Echo back received message with nocopy send */
+ rpmsg_sendto_nocopy(app_chnl, tx_buf, len, app_msg[app_idx].src);
+
+ /* Release held RPMsg rx buffer */
+ rpmsg_release_rx_buffer(app_chnl, app_msg[app_idx].data);
+ app_idx = (app_idx + 1) % STRING_BUFFER_CNT;
-static void rpmsg_channel_deleted(struct rpmsg_channel *rp_chnl)
-{
- rpmsg_destroy_ept(rp_chnl->rp_ept);
+ /* Once a message is consumed, minus the msg_count and might enable MU interrupt again */
+ rpmsg_enable_rx_int(true);
+ }
}
-static void rpmsg_read_cb(struct rpmsg_channel *rp_chnl, void *data, int len,
- void * priv, unsigned long src)
-{
- /*
- * Temperorily Disable MU Receive Interrupt to avoid master
- * sending too many messages and remote will fail to keep pace
- * to consume
- */
- MU_DisableRxFullInt(MU0_B, MU_RPMSG_CHANNEL);
- /*
- * Copy to next app string buffer
- */
- assert(len <= MAX_STRING_SIZE);
- memcpy((void*)strVar[handler_idx], data, len);
- /*
- * Add trailing '\0'
- */
- strVar[handler_idx][len] = 0;
- handler_idx = (handler_idx + 1) % STRING_BUFFER_CNT;
- xSemaphoreGiveFromISR(app_sema, NULL);
-}
/*******************************************************************************
* EOF
******************************************************************************/
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/FreeRTOSConfig.h b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/FreeRTOSConfig.h
new file mode 100644
index 0000000..c57902e
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/FreeRTOSConfig.h
@@ -0,0 +1,163 @@
+/*
+ FreeRTOS V8.0.0 - Copyright (C) 2014 Real Time Engineers Ltd.
+ All rights reserved
+
+ VISIT http://www.FreeRTOS.org TO ENSURE YOU ARE USING THE LATEST VERSION.
+
+ ***************************************************************************
+ * *
+ * FreeRTOS provides completely free yet professionally developed, *
+ * robust, strictly quality controlled, supported, and cross *
+ * platform software that has become a de facto standard. *
+ * *
+ * Help yourself get started quickly and support the FreeRTOS *
+ * project by purchasing a FreeRTOS tutorial book, reference *
+ * manual, or both from: http://www.FreeRTOS.org/Documentation *
+ * *
+ * Thank you! *
+ * *
+ ***************************************************************************
+
+ This file is part of the FreeRTOS distribution.
+
+ FreeRTOS is free software; you can redistribute it and/or modify it under
+ the terms of the GNU General Public License (version 2) as published by the
+ Free Software Foundation >>!AND MODIFIED BY!<< the FreeRTOS exception.
+
+ >>! NOTE: The modification to the GPL is included to allow you to distribute
+ >>! a combined work that includes FreeRTOS without being obliged to provide
+ >>! the source code for proprietary components outside of the FreeRTOS
+ >>! kernel.
+
+ FreeRTOS is distributed in the hope that it will be useful, but WITHOUT ANY
+ WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
+ FOR A PARTICULAR PURPOSE. Full license text is available from the following
+ link: http://www.freertos.org/a00114.html
+
+ 1 tab == 4 spaces!
+
+ ***************************************************************************
+ * *
+ * Having a problem? Start by reading the FAQ "My application does *
+ * not run, what could be wrong?" *
+ * *
+ * http://www.FreeRTOS.org/FAQHelp.html *
+ * *
+ ***************************************************************************
+
+ http://www.FreeRTOS.org - Documentation, books, training, latest versions,
+ license and Real Time Engineers Ltd. contact details.
+
+ http://www.FreeRTOS.org/plus - A selection of FreeRTOS ecosystem products,
+ including FreeRTOS+Trace - an indispensable productivity tool, a DOS
+ compatible FAT file system, and our tiny thread aware UDP/IP stack.
+
+ http://www.OpenRTOS.com - Real Time Engineers ltd license FreeRTOS to High
+ Integrity Systems to sell under the OpenRTOS brand. Low cost OpenRTOS
+ licenses offer ticketed support, indemnification and middleware.
+
+ http://www.SafeRTOS.com - High Integrity Systems also provide a safety
+ engineered and independently SIL3 certified version for use in safety and
+ mission critical applications that require provable dependability.
+
+ 1 tab == 4 spaces!
+*/
+
+
+#ifndef FREERTOS_CONFIG_H
+#define FREERTOS_CONFIG_H
+
+/*-----------------------------------------------------------
+ * Application specific definitions.
+ *
+ * These definitions should be adjusted for your particular hardware and
+ * application requirements.
+ *
+ * THESE PARAMETERS ARE DESCRIBED WITHIN THE 'CONFIGURATION' SECTION OF THE
+ * FreeRTOS API DOCUMENTATION AVAILABLE ON THE FreeRTOS.org WEB SITE.
+ *
+ * See http://www.freertos.org/a00110.html.
+ *----------------------------------------------------------*/
+
+/* Ensure stdint is only used by the compiler, and not the assembler. */
+#ifdef __ICCARM__
+ #include <stdint.h>
+#endif
+
+#define configUSE_PREEMPTION 1
+#define configUSE_IDLE_HOOK 0
+#define configUSE_TICK_HOOK 0
+#define configCPU_CLOCK_HZ (240000000ul)
+#define configTICK_RATE_HZ ((TickType_t)1000)
+#define configMAX_PRIORITIES (5)
+#define configMINIMAL_STACK_SIZE ((unsigned short)130)
+#define configTOTAL_HEAP_SIZE ((size_t)(20 * 1024))
+#define configMAX_TASK_NAME_LEN (10)
+#define configUSE_TRACE_FACILITY 0
+#define configUSE_16_BIT_TICKS 0
+#define configIDLE_SHOULD_YIELD 0
+#define configUSE_MUTEXES 0
+#define configQUEUE_REGISTRY_SIZE 8
+#define configCHECK_FOR_STACK_OVERFLOW 0
+#define configUSE_RECURSIVE_MUTEXES 0
+#define configUSE_MALLOC_FAILED_HOOK 0
+#define configUSE_APPLICATION_TASK_TAG 0
+#define configUSE_COUNTING_SEMAPHORES 1
+#define configGENERATE_RUN_TIME_STATS 0
+
+/* Co-routine definitions. */
+#define configUSE_CO_ROUTINES 0
+#define configMAX_CO_ROUTINE_PRIORITIES (2)
+
+/* Software timer definitions. */
+#define configUSE_TIMERS 0
+#define configTIMER_TASK_PRIORITY (2)
+#define configTIMER_QUEUE_LENGTH 10
+#define configTIMER_TASK_STACK_DEPTH (configMINIMAL_STACK_SIZE * 2)
+
+/* Set the following definitions to 1 to include the API function, or zero
+to exclude the API function. */
+#define INCLUDE_vTaskPrioritySet 0
+#define INCLUDE_uxTaskPriorityGet 0
+#define INCLUDE_vTaskDelete 0
+#define INCLUDE_vTaskCleanUpResources 0
+#define INCLUDE_vTaskSuspend 1
+#define INCLUDE_vTaskDelayUntil 0
+#define INCLUDE_vTaskDelay 1
+
+/* Cortex-M specific definitions. */
+#ifdef __NVIC_PRIO_BITS
+ /* __BVIC_PRIO_BITS will be specified when CMSIS is being used. */
+ #define configPRIO_BITS __NVIC_PRIO_BITS
+#else
+ #define configPRIO_BITS 4 /* 15 priority levels */
+#endif
+
+/* The lowest interrupt priority that can be used in a call to a "set priority"
+function. */
+#define configLIBRARY_LOWEST_INTERRUPT_PRIORITY 0xf
+
+/* The highest interrupt priority that can be used by any interrupt service
+routine that makes calls to interrupt safe FreeRTOS API functions. DO NOT CALL
+INTERRUPT SAFE FREERTOS API FUNCTIONS FROM ANY INTERRUPT THAT HAS A HIGHER
+PRIORITY THAN THIS! (higher priorities are lower numeric values. */
+#define configLIBRARY_MAX_SYSCALL_INTERRUPT_PRIORITY 1
+
+/* Interrupt priorities used by the kernel port layer itself. These are generic
+to all Cortex-M ports, and do not rely on any particular library functions. */
+#define configKERNEL_INTERRUPT_PRIORITY (configLIBRARY_LOWEST_INTERRUPT_PRIORITY << (8 - configPRIO_BITS))
+/* !!!! configMAX_SYSCALL_INTERRUPT_PRIORITY must not be set to zero !!!!
+See http://www.FreeRTOS.org/RTOS-Cortex-M3-M4.html. */
+#define configMAX_SYSCALL_INTERRUPT_PRIORITY (configLIBRARY_MAX_SYSCALL_INTERRUPT_PRIORITY << (8 - configPRIO_BITS))
+
+/* Normal assert() semantics without relying on the provision of an assert.h
+header file. */
+#define configASSERT(x) if((x) == 0) {taskDISABLE_INTERRUPTS(); for(;;);}
+
+/* Definitions that map the FreeRTOS port interrupt handlers to their CMSIS
+standard names. */
+#define vPortSVCHandler SVC_Handler
+#define xPortPendSVHandler PendSV_Handler
+#define xPortSysTickHandler SysTick_Handler
+
+#endif /* FREERTOS_CONFIG_H */
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/CMakeLists.txt b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/CMakeLists.txt
index cfdf394..19eeddc 100644
--- a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo/armgcc/CMakeLists.txt
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/CMakeLists.txt
@@ -28,72 +28,82 @@ set(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -T${ProjDirPat
set(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -T${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/gcc/MCIMX7D_M4_tcm.ld -static")
# DEBUG ASM FLAGS
-SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mapcs -std=gnu99")
+SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
# DEBUG C FLAGS
-SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mapcs -std=gnu99")
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -g -O0 -fno-strict-aliasing -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
# DEBUG LD FLAGS
-SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs -Xlinker --defsym=__stack_size__=0x400 -Xlinker --defsym=__heap_size__=0x200")
+SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -g -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs")
# RELEASE ASM FLAGS
-SET(CMAKE_ASM_FLAGS_RELEASE "${CMAKE_ASM_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mapcs -std=gnu99")
+SET(CMAKE_ASM_FLAGS_RELEASE "${CMAKE_ASM_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
# RELEASE C FLAGS
-SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mapcs -std=gnu99")
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -Os -fno-strict-aliasing -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 -mthumb -MMD -MP -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -mapcs -std=gnu99")
# RELEASE LD FLAGS
-SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs -Xlinker --defsym=__stack_size__=0x400 -Xlinker --defsym=__heap_size__=0x200")
+SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -mcpu=cortex-m4 -mfloat-abi=hard -mfpu=fpv4-sp-d16 --specs=nano.specs -lm -Wall -fno-common -ffunction-sections -fdata-sections -ffreestanding -fno-builtin -Os -mthumb -mapcs -Xlinker --gc-sections -Xlinker -static -Xlinker -z -Xlinker muldefs")
# ASM MACRO
SET(CMAKE_ASM_FLAGS_DEBUG "${CMAKE_ASM_FLAGS_DEBUG} -D__DEBUG")
# C MACRO
SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -D__DEBUG")
-SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -DCPU_IMX7D_M4")
+SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -DCPU_MCIMX7D_M4")
SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -D__NDEBUG")
-SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -DCPU_IMX7D_M4")
+SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -DCPU_MCIMX7D_M4")
# CXX MACRO
# INCLUDE_DIRECTORIES
IF(CMAKE_BUILD_TYPE MATCHES Debug)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/GCC/ARM_CM4F)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/CMSIS/Include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/drivers/inc)
- INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/utilities/inc)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos)
ELSEIF(CMAKE_BUILD_TYPE MATCHES Release)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/GCC/ARM_CM4F)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/CMSIS/Include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/drivers/inc)
- INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../platform/utilities/inc)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../..)
INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/..)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4)
+ INCLUDE_DIRECTORIES(${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos)
ENDIF()
# ADD_EXECUTABLE
-ADD_EXECUTABLE(rpmsg_str_echo_example
+ADD_EXECUTABLE(rpmsg_str_echo_freertos_example
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/GCC/ARM_CM4F/port.c"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/GCC/ARM_CM4F/portmacro.h"
"${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup/gcc/startup_MCIMX7D_M4.S"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/MemMang/heap_2.c"
+ "${ProjDirPath}/../FreeRTOSConfig.h"
+ "${ProjDirPath}/../str_echo_freertos.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_rtos.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.h"
- "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/plat_porting.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/rpmsg_platform_porting.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_ext.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/hil/hil.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/llist/llist.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.h"
@@ -103,6 +113,7 @@ ADD_EXECUTABLE(rpmsg_str_echo_example
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio_ring.h"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos/rpmsg_porting.h"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include/croutine.h"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include/event_groups.h"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include/FreeRTOS.h"
@@ -117,21 +128,24 @@ ADD_EXECUTABLE(rpmsg_str_echo_example
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include/timers.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/ccm_analog_imx7d.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/ccm_imx7d.h"
+ "${ProjDirPath}/../../../../../../platform/drivers/inc/lmem.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/rdc.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/rdc_defs_imx7d.h"
"${ProjDirPath}/../../../../../../platform/drivers/inc/wdog_imx.h"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_rtos.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/hil/hil.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/llist/llist.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/common/shm/sh_mem.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/config/config.c"
- "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos_env.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/remote_device.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_ext.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/rpmsg/rpmsg_core.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtio.c"
"${ProjDirPath}/../../../../../../middleware/multicore/open-amp/virtio/virtqueue.c"
+ "${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos/rpmsg_porting.c"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/croutine.c"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/event_groups.c"
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/list.c"
@@ -140,6 +154,7 @@ ADD_EXECUTABLE(rpmsg_str_echo_example
"${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/timers.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/ccm_analog_imx7d.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/ccm_imx7d.c"
+ "${ProjDirPath}/../../../../../../platform/drivers/src/lmem.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/rdc.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/wdog_imx.c"
"${ProjDirPath}/../../../../../../platform/utilities/src/debug_console_imx.c"
@@ -155,31 +170,30 @@ ADD_EXECUTABLE(rpmsg_str_echo_example
"${ProjDirPath}/../../../../clock_freq.c"
"${ProjDirPath}/../../../../clock_freq.h"
"${ProjDirPath}/../hardware_init.c"
- "${ProjDirPath}/../str_echo.c"
"${ProjDirPath}/../../../../../../platform/drivers/src/uart_imx.c"
"${ProjDirPath}/../../../../../../platform/drivers/inc/uart_imx.h"
"${ProjDirPath}/../../../../../../platform/drivers/src/mu_imx.c"
"${ProjDirPath}/../../../../../../platform/drivers/inc/mu_imx.h"
)
-SET_TARGET_PROPERTIES(rpmsg_str_echo_example PROPERTIES OUTPUT_NAME "rpmsg_str_echo_example.elf")
+SET_TARGET_PROPERTIES(rpmsg_str_echo_freertos_example PROPERTIES OUTPUT_NAME "rpmsg_str_echo_freertos_example.elf")
-TARGET_LINK_LIBRARIES(rpmsg_str_echo_example -Wl,--start-group)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_freertos_example -Wl,--start-group)
# LIBRARIES
IF(CMAKE_BUILD_TYPE MATCHES Debug)
ELSEIF(CMAKE_BUILD_TYPE MATCHES Release)
ENDIF()
# SYSTEM LIBRARIES
-TARGET_LINK_LIBRARIES(rpmsg_str_echo_example m)
-TARGET_LINK_LIBRARIES(rpmsg_str_echo_example c)
-TARGET_LINK_LIBRARIES(rpmsg_str_echo_example gcc)
-TARGET_LINK_LIBRARIES(rpmsg_str_echo_example nosys)
-TARGET_LINK_LIBRARIES(rpmsg_str_echo_example -Wl,--end-group)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_freertos_example m)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_freertos_example c)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_freertos_example gcc)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_freertos_example nosys)
+TARGET_LINK_LIBRARIES(rpmsg_str_echo_freertos_example -Wl,--end-group)
# MAP FILE
-SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker -Map=debug/rpmsg_str_echo_example.map")
-SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -Xlinker -Map=release/rpmsg_str_echo_example.map")
+SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker -Map=debug/rpmsg_str_echo_freertos_example.map")
+SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} -Xlinker -Map=release/rpmsg_str_echo_freertos_example.map")
# BIN AND HEX
-ADD_CUSTOM_COMMAND(TARGET rpmsg_str_echo_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Oihex ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_example.hex)
-ADD_CUSTOM_COMMAND(TARGET rpmsg_str_echo_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Obinary ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_example.bin)
+ADD_CUSTOM_COMMAND(TARGET rpmsg_str_echo_freertos_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Oihex ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_freertos_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_freertos_example.hex)
+ADD_CUSTOM_COMMAND(TARGET rpmsg_str_echo_freertos_example POST_BUILD COMMAND ${CMAKE_OBJCOPY} -Obinary ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_freertos_example.elf ${EXECUTABLE_OUTPUT_PATH}/rpmsg_str_echo_freertos_example.bin)
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_all.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_all.bat
new file mode 100644
index 0000000..0cf721f
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_all.bat
@@ -0,0 +1,5 @@
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=Debug .
+mingw32-make -j4
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=Release .
+mingw32-make -j4
+pause
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_all.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_all.sh
new file mode 100755
index 0000000..3827529
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_all.sh
@@ -0,0 +1,5 @@
+#!/bin/sh
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Debug .
+make -j4
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Release .
+make -j4
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_debug.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_debug.bat
new file mode 100644
index 0000000..e9ccfdd
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_debug.bat
@@ -0,0 +1,3 @@
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=Debug .
+mingw32-make -j4
+pause
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_debug.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_debug.sh
new file mode 100755
index 0000000..effd076
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_debug.sh
@@ -0,0 +1,3 @@
+#!/bin/sh
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Debug .
+make -j4
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_release.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_release.bat
new file mode 100644
index 0000000..0759349
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_release.bat
@@ -0,0 +1,3 @@
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=Release .
+mingw32-make -j4
+pause
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_release.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_release.sh
new file mode 100755
index 0000000..a12067d
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/build_release.sh
@@ -0,0 +1,3 @@
+#!/bin/sh
+cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=Release .
+make -j4
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/clean.bat b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/clean.bat
new file mode 100644
index 0000000..ffea088
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/clean.bat
@@ -0,0 +1,3 @@
+RD /s /Q Debug Release CMakeFiles
+DEL /s /Q /F Makefile cmake_install.cmake CMakeCache.txt
+pause
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/clean.sh b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/clean.sh
new file mode 100755
index 0000000..795ad87
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/armgcc/clean.sh
@@ -0,0 +1,3 @@
+#!/bin/sh
+rm -rf debug release CMakeFiles
+rm -rf Makefile cmake_install.cmake CMakeCache.txt
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/ds5/.cproject b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/ds5/.cproject
new file mode 100644
index 0000000..4f01e6c
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/ds5/.cproject
@@ -0,0 +1,137 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<?fileVersion 4.0.0?>
+<cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
+ <storageModule moduleId="org.eclipse.cdt.core.settings">
+ <cconfiguration id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" moduleId="org.eclipse.cdt.core.settings" name="debug">
+ <externalSettings/>
+ <extensions>
+ <extension id="com.arm.eclipse.builder.armcc.error" point="org.eclipse.cdt.core.ErrorParser"/>
+ </extensions>
+ </storageModule>
+ <storageModule moduleId="cdtBuildSystem" version="4.0.0">
+ <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623" name="debug" parent="com.arm.eclipse.build.config.baremetal.exe.debug" postbuildStep="fromelf --bincombined --output=${ProjName}.bin ${ProjName}.axf">
+ <folderInfo id="com.arm.eclipse.build.config.baremetal.exe.debug.893051445.1002809623." name="/" resourcePath="">
+ <toolChain errorParsers="com.arm.eclipse.builder.armcc.error" id="com.arm.toolchain.baremetal.exe.debug.505048968" name="ARM Compiler" nonInternalBuilderId="com.arm.toolchain.baremetal.builder" superClass="com.arm.toolchain.baremetal.exe.debug">
+ <targetPlatform binaryParser="" id="com.arm.toolchain.baremetal.exe.debug.505048968.350348883" name=""/>
+ <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/debug}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.38020294" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
+ <tool command="armcc" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.c.compiler.baremetal.exe.debug.1725848509" name="ARM C Compiler" superClass="com.arm.tool.c.compiler.baremetal.exe.debug">
+ <option id="com.arm.tool.c.compiler.option.incpath.349366493" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/><listOptionValue builtIn="false" value="${ProjDirPath}/.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos"/></option>
+ <option id="com.arm.tool.c.compiler.option.defmac.1627388367" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__DEBUG"/><listOptionValue builtIn="false" value="CPU_MCIMX7D_M4"/></option>
+ <option id="com.arm.tool.c.compiler.option.targetcpu.1309268616" name="Target CPU (--cpu)" superClass="com.arm.tool.c.compiler.option.targetcpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.c.compiler.option.targetfpu.1098489790" name="Target FPU (--fpu)" superClass="com.arm.tool.c.compiler.option.targetfpu" value="FPv4-SP" valueType="string"/>
+ <option id="com.arm.tool.c.compiler.option.fpmode.1539082142" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.c.compiler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.option.fppcs.928968199" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.c.compiler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.option.flags.49715708" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
+ <option id="com.arm.tool.c.compiler.options.debug.enabled.652416105" name="Enable debug (-g)" superClass="com.arm.tool.c.compiler.options.debug.enabled" value="true" valueType="boolean"/>
+ <option id="com.arm.tool.assembler.option.preproc.664063762" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="false" valueType="boolean"/>
+ <option id="com.arm.tool.c.compiler.option.endian.2486374826" name="Byte order" superClass="com.arm.tool.c.compiler.option.endian" value="com.arm.tool.c.compiler.option.endian.auto" valueType="enumerated"/>
+ <inputType id="com.arm.tool.c.compiler.input.1814530651" superClass="com.arm.tool.c.compiler.input"/>
+ <inputType id="com.arm.tool.cpp.compiler.input.988841684" superClass="com.arm.tool.cpp.compiler.input"/>
+ <option id="com.arm.tool.c.compiler.option.gnu.1943184610" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.charsize.6628276911" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt.9526903536" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt" valueType="enumerated" value="com.arm.tool.c.compiler.option.optlevel.min"/><option id="com.arm.tool.c.compiler.option.optfor.2390226042" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.3030479364" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.5584162639" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.option.suppress.5912839617" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.4183371573" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.3325831927" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.inter.8628718365" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.4576070791" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.2866368147" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.2616942847" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.204564372" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.8951885877" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.9739545488" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
+ <tool id="com.arm.tool.cpp.compiler.baremetal.exe.debug.1769458477" name="ARM C++ Compiler" superClass="com.arm.tool.cpp.compiler.baremetal.exe.debug">
+ <option id="com.arm.tool.c.compiler.option.flags.125692915" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
+ </tool>
+ <tool command="armasm" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.assembler.1155958963" name="ARM Assembler" superClass="com.arm.tool.assembler">
+ <option id="com.arm.tool.assembler.option.cpu.423475341" name="Target CPU (--cpu)" superClass="com.arm.tool.assembler.option.cpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.assembler.option.fpu.560570852" name="Target FPU (--fpu)" superClass="com.arm.tool.assembler.option.fpu" value="FPv4-SP" valueType="string"/>
+ <option id="com.arm.tool.assembler.option.fpmode.2114797651" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.assembler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
+ <option id="com.arm.tool.assembler.option.fppcs.2043711002" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.assembler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
+ <option id="com.arm.tool.assembler.option.flags.2068342579" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; -I&quot;${ProjDirPath}/..&quot; " valueType="string"/>
+ <option id="com.arm.tool.assembler.option.preproc.664063762" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="true" valueType="boolean"/>
+ <option id="com.arm.tool.assembler.option.preprocflags.7812330162" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value="-D__DEBUG"/><option id="com.arm.tool.assembler.option.unalign.3294393814" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.8667555871" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.2190406380" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.9230195097" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.5870590145" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.6523703964" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
+ <tool command="armlink" commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" errorParsers="" id="com.arm.tool.c.linker.1088675316" name="ARM Linker" superClass="com.arm.tool.c.linker">
+ <option id="com.arm.tool.c.linker.option.cpu.267638742" name="Target CPU (--cpu)" superClass="com.arm.tool.c.linker.option.cpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.scatter.1868789905" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/arm/MCIMX7D_M4_tcm.scf" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.libs.1325797835" name="Libraries (--library)" superClass="com.arm.tool.c.linker.option.libs"/>
+ <option id="com.arm.tool.c.linker.option.libsearch.1542315655" name="Library search path (--userlibpath)" superClass="com.arm.tool.c.linker.option.libsearch"/>
+ <option id="com.arm.tool.c.linker.libs.491659161" name="Other library files" superClass="com.arm.tool.c.linker.libs"/>
+ <option id="com.arm.tool.c.linker.option.entry.1665317816" name="Image entry point (--entry)" superClass="com.arm.tool.c.linker.option.entry" value="Reset_Handler" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.imagemap.909474066" name="Generate image map (--map)" superClass="com.arm.tool.c.linker.option.imagemap" value="false" valueType="boolean"/>
+ <option id="com.arm.tool.c.linker.option.syslibs.948170747" name="Standard library search path (--libpath)" superClass="com.arm.tool.c.linker.option.syslibs"/>
+
+ <inputType id="com.arm.tool.c.linker.input.334720080" superClass="com.arm.tool.c.linker.input">
+ <additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
+ <additionalInput kind="additionalinput" paths="$(LIBS)"/>
+ </inputType>
+ <option id="com.arm.tool.c.linker.option.fpu.7727822413" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.6891947460" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.5810752581" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.4766421287" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.5937319570" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.7772346132" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.4887776323" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
+ <tool id="com.arm.tool.librarian.109887334" name="ARM Librarian" superClass="com.arm.tool.librarian"/>
+ </toolChain>
+ </folderInfo>
+ </configuration>
+ </storageModule>
+ <storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
+ </cconfiguration>
+ <cconfiguration id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" moduleId="org.eclipse.cdt.core.settings" name="release">
+ <externalSettings/>
+ <extensions>
+ <extension id="com.arm.eclipse.builder.armcc.error" point="org.eclipse.cdt.core.ErrorParser"/>
+ </extensions>
+ </storageModule>
+ <storageModule moduleId="cdtBuildSystem" version="4.0.0">
+ <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="com.arm.eclipse.build.artefact.baremetal.exe" buildProperties="org.eclipse.cdt.build.core.buildType=org.eclipse.cdt.build.core.buildType.debug,org.eclipse.cdt.build.core.buildArtefactType=com.arm.eclipse.build.artefact.baremetal.exe" cleanCommand="clean" description="" id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666" name="release" parent="com.arm.eclipse.build.config.baremetal.exe.debug" postbuildStep="fromelf --bincombined --output=${ProjName}.bin ${ProjName}.axf">
+ <folderInfo id="com.arm.eclipse.build.config.baremetal.exe.debug.2022285397.1552618666." name="/" resourcePath="">
+ <toolChain id="com.arm.toolchain.baremetal.exe.debug.1871020344" name="ARM Compiler" nonInternalBuilderId="com.arm.toolchain.baremetal.builder" superClass="com.arm.toolchain.baremetal.exe.debug">
+ <targetPlatform id="com.arm.toolchain.baremetal.exe.debug.1871020344.229212655" name=""/>
+ <builder autoBuildTarget="all" buildPath="${workspace_loc:/app/release}" cleanBuildTarget="clean" id="org.eclipse.cdt.build.core.internal.builder.1172218807" incrementalBuildTarget="all" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="CDT Internal Builder" superClass="org.eclipse.cdt.build.core.internal.builder"/>
+ <tool id="com.arm.tool.c.compiler.baremetal.exe.debug.724686906" name="ARM C Compiler" superClass="com.arm.tool.c.compiler.baremetal.exe.debug">
+ <option id="com.arm.tool.c.compiler.option.incpath.2126170575" name="Include path (-I)" superClass="com.arm.tool.c.compiler.option.incpath"><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/CMSIS/Include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/startup"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/drivers/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../rtos/FreeRTOS/Source/include"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../platform/utilities/inc"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp"/><listOptionValue builtIn="false" value="${ProjDirPath}/.."/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/imx7d_m4"/><listOptionValue builtIn="false" value="${ProjDirPath}/../../../../../../middleware/multicore/open-amp/porting/env/freertos"/></option>
+ <option id="com.arm.tool.c.compiler.option.defmac.741292309" name="Define macro (-D)" superClass="com.arm.tool.c.compiler.option.defmac"><listOptionValue builtIn="false" value="__NDEBUG"/><listOptionValue builtIn="false" value="CPU_MCIMX7D_M4"/></option>
+ <option id="com.arm.tool.c.compiler.option.targetcpu.499083253" name="Target CPU (--cpu)" superClass="com.arm.tool.c.compiler.option.targetcpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.c.compiler.option.targetfpu.747092604" name="Target FPU (--fpu)" superClass="com.arm.tool.c.compiler.option.targetfpu" value="FPv4-SP" valueType="string"/>
+ <option id="com.arm.tool.c.compiler.option.fpmode.885590841" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.c.compiler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.option.fppcs.1131381472" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.c.compiler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.baremetal.exe.debug.option.opt.445197165" name="Optimization level" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.option.opt" value="com.arm.tool.c.compiler.option.optlevel.max" valueType="enumerated"/>
+ <option id="com.arm.tool.c.compiler.option.flags.1769123778" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
+ <inputType id="com.arm.tool.c.compiler.input.1270990193" superClass="com.arm.tool.c.compiler.input"/>
+ <inputType id="com.arm.tool.cpp.compiler.input.840085126" superClass="com.arm.tool.cpp.compiler.input"/>
+ <option id="com.arm.tool.c.compiler.option.gnu.4516435951" superClass="com.arm.tool.c.compiler.option.gnu" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.charsize.7243912039" superClass="com.arm.tool.c.compiler.option.charsize" valueType="enumerated" value="com.arm.tool.c.compiler.option.enum.auto"/><option id="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt.3948087075" superClass="com.arm.tool.c.compiler.baremetal.exe.debug.base.option.opt" valueType="enumerated" value="com.arm.tool.c.compiler.option.optlevel.max"/><option id="com.arm.tool.c.compiler.option.optfor.4663274153" superClass="com.arm.tool.c.compiler.option.optfor" valueType="enumerated" value="com.arm.tool.c.compiler.option.optfor.auto"/><option id="com.arm.tool.c.compile.option.lang.1447001304" superClass="com.arm.tool.c.compile.option.lang" valueType="enumerated" value="com.arm.tool.c.compile.option.lang.auto"/><option id="com.arm.tool.c.compiler.option.strict.8668781820" superClass="com.arm.tool.c.compiler.option.strict" valueType="enumerated" value="com.arm.tool.c.compiler.option.strict.auto"/><option id="com.arm.tool.c.compiler.options.debug.enabled.715787036" superClass="com.arm.tool.c.compiler.options.debug.enabled" valueType="boolean" value="true"/><option id="com.arm.tool.c.compiler.option.suppress.1489837886" superClass="com.arm.tool.c.compiler.option.suppress" valueType="string" value="1296,66"/><option id="com.arm.tool.c.compiler.options.debug.format.9233935079" superClass="com.arm.tool.c.compiler.options.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.c.compiler.option.inst.1539153040" superClass="com.arm.tool.c.compiler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.c.compiler.option.endian.7916572340" superClass="com.arm.tool.c.compiler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.auto"/><option id="com.arm.tool.c.compiler.option.inter.9616523517" superClass="com.arm.tool.c.compiler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.unalign.5801494043" superClass="com.arm.tool.c.compiler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.vector.8335109871" superClass="com.arm.tool.c.compiler.option.vector" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enum.5135752711" superClass="com.arm.tool.c.compiler.option.enum" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.suppresswarn.8964230488" superClass="com.arm.tool.c.compiler.option.suppresswarn" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.warnaserr.3791138550" superClass="com.arm.tool.c.compiler.option.warnaserr" valueType="boolean" value="false"/><option id="com.arm.tool.c.compiler.option.enablerem.8744584294" superClass="com.arm.tool.c.compiler.option.enablerem" valueType="boolean" value="false"/></tool>
+ <tool id="com.arm.tool.cpp.compiler.baremetal.exe.debug.1278927352" name="ARM C++ Compiler" superClass="com.arm.tool.cpp.compiler.baremetal.exe.debug">
+ <option id="com.arm.tool.c.compiler.option.flags.191883218" name="Other flags" superClass="com.arm.tool.c.compiler.option.flags" value="--c99 --split_sections " valueType="string"/>
+ </tool>
+ <tool id="com.arm.tool.assembler.782505281" name="ARM Assembler" superClass="com.arm.tool.assembler">
+ <option id="com.arm.tool.assembler.option.cpu.1791675215" name="Target CPU (--cpu)" superClass="com.arm.tool.assembler.option.cpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.assembler.option.fpu.1669042968" name="Target FPU (--fpu)" superClass="com.arm.tool.assembler.option.fpu" value="FPv4-SP" valueType="string"/>
+ <option id="com.arm.tool.assembler.option.fpmode.150044025" name="Floating-point mode (--fpmode)" superClass="com.arm.tool.assembler.option.fpmode" value="com.arm.tool.c.compiler.option.fpmode.default" valueType="enumerated"/>
+ <option id="com.arm.tool.assembler.option.fppcs.1033303317" name="Floating-point PCS (--apcs)" superClass="com.arm.tool.assembler.option.fppcs" value="com.arm.tool.c.compiler.option.fppcs.auto" valueType="enumerated"/>
+ <option id="com.arm.tool.assembler.option.flags.1985199179" name="Other flags" superClass="com.arm.tool.assembler.option.flags" value="-I&quot;${ProjDirPath}/../../../..&quot; -I&quot;${ProjDirPath}/..&quot; " valueType="string"/>
+ <option id="com.arm.tool.assembler.option.preproc.664063763" name="Preprocess input before assembling (--cpreproc)" superClass="com.arm.tool.assembler.option.preproc" value="false" valueType="boolean"/>
+ <option id="com.arm.tool.assembler.option.preprocflags.6003830343" superClass="com.arm.tool.assembler.option.preprocflags" valueType="string" value=""/><option id="com.arm.tool.assembler.option.unalign.5206083034" superClass="com.arm.tool.assembler.option.unalign" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inter.9203680824" superClass="com.arm.tool.assembler.option.inter" valueType="boolean" value="false"/><option id="com.arm.tool.assembler.option.inst.2914611017" superClass="com.arm.tool.assembler.option.inst" valueType="enumerated" value="com.arm.tool.c.compiler.option.inst.auto"/><option id="com.arm.tool.assembler.option.endian.4947610673" superClass="com.arm.tool.assembler.option.endian" valueType="enumerated" value="com.arm.tool.c.compiler.option.endian.little"/><option id="com.arm.tool.assembler.option.debug.format.3310693311" superClass="com.arm.tool.assembler.option.debug.format" valueType="enumerated" value="com.arm.tool.c.compiler.options.debug.format.auto"/><option id="com.arm.tool.assembler.option.sup.6314589212" superClass="com.arm.tool.assembler.option.sup" valueType="string" value="1296,66"/></tool>
+ <tool id="com.arm.tool.c.linker.1301355868" name="ARM Linker" superClass="com.arm.tool.c.linker">
+ <option id="com.arm.tool.c.linker.option.cpu.522518248" name="Target CPU (--cpu)" superClass="com.arm.tool.c.linker.option.cpu" value="Cortex-M4" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.scatter.208008498" name="Scatter file (--scatter)" superClass="com.arm.tool.c.linker.option.scatter" value="${ProjDirPath}/../../../../../../platform/devices/MCIMX7D/linker/arm/MCIMX7D_M4_tcm.scf" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.libs.1119897339" name="Libraries (--library)" superClass="com.arm.tool.c.linker.option.libs"/>
+ <option id="com.arm.tool.c.linker.option.libsearch.226638094" name="Library search path (--userlibpath)" superClass="com.arm.tool.c.linker.option.libsearch"/>
+ <option id="com.arm.tool.c.linker.libs.962860959" name="Other library files" superClass="com.arm.tool.c.linker.libs"/>
+ <option id="com.arm.tool.c.linker.option.entry.2139204104" name="Image entry point (--entry)" superClass="com.arm.tool.c.linker.option.entry" value="Reset_Handler" valueType="string"/>
+ <option id="com.arm.tool.c.linker.option.imagemap.754891612" name="Generate image map (--map)" superClass="com.arm.tool.c.linker.option.imagemap" value="false" valueType="boolean"/>
+ <option id="com.arm.tool.c.linker.option.syslibs.948170748" name="Standard library search path (--libpath)" superClass="com.arm.tool.c.linker.option.syslibs"/>
+
+ <inputType id="com.arm.tool.c.linker.input.2130990920" superClass="com.arm.tool.c.linker.input">
+ <additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
+ <additionalInput kind="additionalinput" paths="$(LIBS)"/>
+ </inputType>
+ <option id="com.arm.tool.c.linker.option.fpu.6348726759" superClass="com.arm.tool.c.linker.option.fpu" valueType="string" value="FPv4-SP"/><option id="com.arm.tool.c.linker.option.sizes.1697379412" superClass="com.arm.tool.c.linker.option.sizes" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.totals.1591946680" superClass="com.arm.tool.c.linker.option.totals" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.compress.8071828214" superClass="com.arm.tool.c.linker.option.compress" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.stack.5702220066" superClass="com.arm.tool.c.linker.option.stack" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.inlineinfo.3127673692" superClass="com.arm.tool.c.linker.option.inlineinfo" valueType="boolean" value="false"/><option id="com.arm.tool.c.linker.option.elim.3837660178" superClass="com.arm.tool.c.linker.option.elim" valueType="boolean" value="false"/></tool>
+ <tool id="com.arm.tool.librarian.2006874949" name="ARM Librarian" superClass="com.arm.tool.librarian"/>
+ </toolChain>
+ </folderInfo>
+ </configuration>
+ </storageModule>
+ <storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
+ </cconfiguration>
+ </storageModule>
+ <storageModule moduleId="cdtBuildSystem" version="4.0.0">
+ <project id="com.arm.eclipse.build.project.baremetal.exe.1023008919" name="Bare-metal Executable" projectType="com.arm.eclipse.build.project.baremetal.exe"/>
+ </storageModule>
+ <storageModule moduleId="scannerConfiguration">
+ <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
+ <scannerConfigBuildInfo instanceId="com.arm.eclipse.build.config.baremetal.exe.debug.893051445;com.arm.eclipse.build.config.baremetal.exe.debug.893051445.;com.arm.tool.c.compiler.baremetal.exe.debug.1501911384;com.arm.tool.c.compiler.input.905747706">
+ <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId="com.arm.eclipse.builder.armcc.ARMCompilerDiscoveryProfile"/>
+ </scannerConfigBuildInfo>
+ </storageModule>
+ <storageModule moduleId="refreshScope" versionNumber="1">
+ <resource resourceType="PROJECT" workspacePath="/app"/>
+ </storageModule>
+ <storageModule moduleId="org.eclipse.cdt.internal.ui.text.commentOwnerProjectMappings"/>
+</cproject>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/ds5/.project b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/ds5/.project
new file mode 100644
index 0000000..74711fd
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/ds5/.project
@@ -0,0 +1,86 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<projectDescription>
+ <name>rpmsg_str_echo_freertos_example_imx7d_sdb_m4</name>
+ <comment/>
+ <projects>
+ </projects>
+ <buildSpec>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.genmakebuilder</name>
+ <triggers>clean,full,incremental,</triggers>
+ <arguments>
+ <dictionary>
+ <key>?name?</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.append_environment</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.autoBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildArguments</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildCommand</key>
+ <value>make</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildLocation</key>
+ <value/>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.cleanBuildTarget</key>
+ <value>clean</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.contents</key>
+ <value>org.eclipse.cdt.make.core.activeConfigSettings</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableAutoBuild</key>
+ <value>false</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableCleanBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableFullBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.fullBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.stopOnError</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.useDefaultBuildCmd</key>
+ <value>true</value>
+ </dictionary>
+ </arguments>
+ </buildCommand>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder</name>
+ <triggers>full,incremental,</triggers>
+ <arguments>
+ </arguments>
+ </buildCommand>
+ </buildSpec>
+ <natures>
+ <nature>org.eclipse.cdt.core.cnature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.managedBuildNature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.ScannerConfigNature</nature>
+ </natures>
+ <linkedResources>
+ <link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/port.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F/port.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/portmacro.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/RVDS/ARM_CM4F/portmacro.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/startup_MCIMX7D_M4.s</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/arm/startup_MCIMX7D_M4.s</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/heap_2.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/portable/MemMang/heap_2.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/FreeRTOSConfig.h</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/FreeRTOSConfig.h</locationURI></link><link><name>source</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>source/str_echo_freertos.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/str_echo_freertos.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_rtos.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_rtos.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_platform_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/rpmsg_platform_porting.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_ext.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_ext.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/env.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/env.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio_ring.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio_ring.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_porting.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/freertos/rpmsg_porting.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/croutine.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/croutine.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/event_groups.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/event_groups.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/FreeRTOS.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/FreeRTOS.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/list.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/list.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/mpu_wrappers.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/mpu_wrappers.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/portable.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/portable.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/projdefs.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/projdefs.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/queue.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/queue.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/semphr.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/semphr.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/StackMacros.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/StackMacros.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/task.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/task.h</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/timers.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/include/timers.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_analog_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/ccm_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/lmem.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/lmem.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc_defs_imx7d.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/rdc_defs_imx7d.h</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/wdog_imx.h</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_rtos.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_rtos.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/platform_info.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/imx7d_m4/platform_info.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/hil.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/hil/hil.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/llist.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/llist/llist.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/sh_mem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/common/shm/sh_mem.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/config.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/config/config.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/remote_device.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/remote_device.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_ext.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_ext.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_core.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/rpmsg/rpmsg_core.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtio.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtio.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/virtqueue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/virtio/virtqueue.c</locationURI></link><link><name>rpmsg</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>rpmsg/rpmsg_porting.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/middleware/multicore/open-amp/porting/env/freertos/rpmsg_porting.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/croutine.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/croutine.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/event_groups.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/event_groups.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/list.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/list.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/queue.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/queue.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/tasks.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/tasks.c</locationURI></link><link><name>freertos</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>freertos/timers.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/rtos/FreeRTOS/Source/timers.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_analog_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_analog_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/ccm_imx7d.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/ccm_imx7d.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/lmem.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/lmem.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/rdc.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/rdc.c</locationURI></link><link><name>system</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>system/wdog_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/wdog_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/debug_console_imx.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/debug_console_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/inc/debug_console_imx.h</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.c</locationURI></link><link><name>utilities</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>utilities/print_scan.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/utilities/src/print_scan.h</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.c</locationURI></link><link><name>startup</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>startup/system_MCIMX7D_M4.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/devices/MCIMX7D/startup/system_MCIMX7D_M4.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/pin_mux.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/pin_mux.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/board.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/board.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.c</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.c</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/clock_freq.h</name><type>1</type><locationURI>PARENT-4-PROJECT_LOC/clock_freq.h</locationURI></link><link><name>board</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>board/hardware_init.c</name><type>1</type><locationURI>PARENT-1-PROJECT_LOC/hardware_init.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/uart_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/uart_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/uart_imx.h</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.c</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/src/mu_imx.c</locationURI></link><link><name>driver</name><type>2</type><locationURI>virtual:/virtual</locationURI></link><link><name>driver/mu_imx.h</name><type>1</type><locationURI>PARENT-6-PROJECT_LOC/platform/drivers/inc/mu_imx.h</locationURI></link></linkedResources>
+ <variableList>
+ </variableList>
+</projectDescription>
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/hardware_init.c b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/hardware_init.c
new file mode 100644
index 0000000..aa69475
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/hardware_init.c
@@ -0,0 +1,54 @@
+/*
+ * Copyright (c) 2015, Freescale Semiconductor, Inc.
+ * All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without modification,
+ * are permitted provided that the following conditions are met:
+ *
+ * o Redistributions of source code must retain the above copyright notice, this list
+ * of conditions and the following disclaimer.
+ *
+ * o Redistributions in binary form must reproduce the above copyright notice, this
+ * list of conditions and the following disclaimer in the documentation and/or
+ * other materials provided with the distribution.
+ *
+ * o Neither the name of Freescale Semiconductor, Inc. nor the names of its
+ * contributors may be used to endorse or promote products derived from this
+ * software without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+ * WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+ * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR
+ * ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+ * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+ * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
+ * ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+ */
+
+#include "board.h"
+#include "pin_mux.h"
+
+void hardware_init(void)
+{
+ /* Board specific RDC settings */
+ BOARD_RdcInit();
+
+ /* Board specific clock settings */
+ BOARD_ClockInit();
+
+ /* initialize debug uart */
+ dbg_uart_init();
+
+ /* RDC MU*/
+ RDC_SetPdapAccess(RDC, BOARD_MU_RDC_PDAP, 3 << (BOARD_DOMAIN_ID * 2), false, false);
+
+ /* Enable clock gate for MU*/
+ CCM_ControlGate(CCM, BOARD_MU_CCM_CCGR, ccmClockNeededRun);
+}
+
+/*******************************************************************************
+ * EOF
+ ******************************************************************************/
diff --git a/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/str_echo_freertos.c b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/str_echo_freertos.c
new file mode 100644
index 0000000..b34b3d7
--- /dev/null
+++ b/examples/imx7d_sdb_m4/demo_apps/rpmsg/str_echo_freertos/str_echo_freertos.c
@@ -0,0 +1,146 @@
+/*
+ * Copyright (c) 2015, Freescale Semiconductor, Inc.
+ * All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without modification,
+ * are permitted provided that the following conditions are met:
+ *
+ * o Redistributions of source code must retain the above copyright notice, this list
+ * of conditions and the following disclaimer.
+ *
+ * o Redistributions in binary form must reproduce the above copyright notice, this
+ * list of conditions and the following disclaimer in the documentation and/or
+ * other materials provided with the distribution.
+ *
+ * o Neither the name of Freescale Semiconductor, Inc. nor the names of its
+ * contributors may be used to endorse or promote products derived from this
+ * software without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
+ * WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
+ * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR
+ * ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
+ * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
+ * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
+ * ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
+ * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+ */
+
+#include "rpmsg/rpmsg_rtos.h"
+#include "FreeRTOS.h"
+#include "task.h"
+#include "semphr.h"
+#include "string.h"
+#include "board.h"
+#include "mu_imx.h"
+#include "debug_console_imx.h"
+
+////////////////////////////////////////////////////////////////////////////////
+// Definitions
+////////////////////////////////////////////////////////////////////////////////
+#define APP_TASK_STACK_SIZE 256
+
+/*
+ * APP decided interrupt priority
+ */
+#define APP_MU_IRQ_PRIORITY 3
+
+/* Globals */
+static char app_buf[512]; /* Each RPMSG buffer can carry less than 512 payload */
+
+/*!
+ * @brief A basic RPMSG task
+ */
+static void StrEchoTask(void *pvParameters)
+{
+ int result;
+ struct remote_device *rdev = NULL;
+ struct rpmsg_channel *app_chnl = NULL;
+ void *rx_buf;
+ int len;
+ unsigned long src;
+ void *tx_buf;
+ unsigned long size;
+
+ /* Print the initial banner */
+ PRINTF("\r\nRPMSG String Echo FreeRTOS RTOS API Demo...\r\n");
+
+ /* RPMSG Init as REMOTE */
+ PRINTF("RPMSG Init as Remote\r\n");
+ result = rpmsg_rtos_init(0 /*REMOTE_CPU_ID*/, &rdev, RPMSG_MASTER, &app_chnl);
+ assert(result == 0);
+
+ PRINTF("Name service handshake is done, M4 has setup a rpmsg channel [%d ---> %d]\r\n", app_chnl->src, app_chnl->dst);
+
+ /*
+ * str_echo demo loop
+ */
+ for (;;)
+ {
+ /* Get RPMsg rx buffer with message */
+ result = rpmsg_rtos_recv_nocopy(app_chnl->rp_ept, &rx_buf, &len, &src, 0xFFFFFFFF);
+ assert(result == 0);
+
+ /* Copy string from RPMsg rx buffer */
+ assert(len < sizeof(app_buf));
+ memcpy(app_buf, rx_buf, len);
+ app_buf[len] = 0; /* End string by '\0' */
+
+ if ((len == 2) && (app_buf[0] == 0xd) && (app_buf[1] == 0xa))
+ PRINTF("Get New Line From Master Side\r\n");
+ else
+ PRINTF("Get Message From Master Side : \"%s\" [len : %d]\r\n", app_buf, len);
+
+ /* Get tx buffer from RPMsg */
+ tx_buf = rpmsg_rtos_alloc_tx_buffer(app_chnl->rp_ept, &size);
+ assert(tx_buf);
+ /* Copy string to RPMsg tx buffer */
+ memcpy(tx_buf, app_buf, len);
+ /* Echo back received message with nocopy send */
+ result = rpmsg_rtos_send_nocopy(app_chnl->rp_ept, tx_buf, len, src);
+ assert(result == 0);
+
+ /* Release held RPMsg rx buffer */
+ result = rpmsg_rtos_recv_nocopy_free(app_chnl->rp_ept, rx_buf);
+ assert(result == 0);
+ }
+}
+
+/*
+ * MU Interrrupt ISR
+ */
+void BOARD_MU_HANDLER(void)
+{
+ /*
+ * calls into rpmsg_handler provided by middleware
+ */
+ rpmsg_handler();
+}
+
+int main(void)
+{
+ hardware_init();
+
+ /*
+ * Prepare for the MU Interrupt
+ * MU must be initialized before rpmsg init is called
+ */
+ MU_Init(BOARD_MU_BASE_ADDR);
+ NVIC_SetPriority(BOARD_MU_IRQ_NUM, APP_MU_IRQ_PRIORITY);
+ NVIC_EnableIRQ(BOARD_MU_IRQ_NUM);
+
+ /* Create a demo task. */
+ xTaskCreate(StrEchoTask, "String Echo Task", APP_TASK_STACK_SIZE,
+ NULL, tskIDLE_PRIORITY+1, NULL);
+
+ /* Start FreeRTOS scheduler. */
+ vTaskStartScheduler();
+
+ /* Should never reach this point. */
+ while (true);
+}
+/*******************************************************************************
+ * EOF
+ ******************************************************************************/